Elektronika | Digitális technika » Bencsik-Madarász - Digitális technika

Alapadatok

Év, oldalszám:1998, 100 oldal

Nyelv:magyar

Letöltések száma:1048

Feltöltve:2009. április 30.

Méret:2 MB

Intézmény:
-

Megjegyzés:

Csatolmány:-

Letöltés PDF-ben:Kérlek jelentkezz be!



Értékelések

11110 Anonymus 2015. november 11.
  Hasznos összefoglaló munka.

Tartalmi kivonat

Dr. Bencsik Attila (BDMF) Dr. Madarász László (GAMF) DIGITÁLIS TECHNIKA Budapest, 1998. -2- TARTALOMJEGYZÉK 1. A DIGITÁLIS RENDSZEREK MATEMATIKAI ALAPJAI 3 1.1 A számrendszerek 3 1.2 Az elemek száma szerinti legkedvezőbb alapszám meghatározása . 5 1.3 Műveletek bináris számokkal 5 1.4 Kódolás, dekódolás 8 2. DIGITÁLIS RENDSZEREK MŰKÖDÉSÉNEK LEÍRÁSA 11 2.1 Diagramok és táblázatok 11 2.2 A logikai algebra alapjai 12 3. A LOGIKAI FÜGGVÉNYEKHEZ TARTOZÓ ALAPFOGALMAK . 14 3.1 A logikai függvény fogalma 14 3.2 Logikai függvények a változók száma szerint 17 3.3 Szabályos alakok 19 3.4 Kifejtési tételek 19 3.5 Logikai függvények minimalizálási eljárásai 21 4. LOGIKAI HÁLÓZATOK 27 4.1 Kombinációs hálózatok 27 4.2 Szekvenciális hálózatok 32 4.21 Szinkron szekvenciális hálózatok 33 4.22 Aszinkron szekvenciális hálózatok 33 5. LOGIKAI FÜGGVÉNYEK ÉS HÁLÓZATOK REALIZÁLÁSA 40 5.1 Ideális és valóságos

működés 41 5.2 Függvénymegvalósítások a különféle műszaki rendszerekben 45 5.3 Kódoló és dekódoló 50 5.4 Jelváltó áramkörök 50 5.5 Arritmetikai áramkörök 51 5.6 Tároló áramkörök 53 5.7 Léptető regiszterek 60 5.8 Számlálók áramkörök 61 6. LOGIKAI ÁRAMKÖRCSALÁDOK 64 6.1 A TTL és CMOS jellegű logikai szintek 65 6.2 A kis- és közepes integráltságú áramkör családok 72 6.3 A nagyintegráltságú áramkörök áttekintése 75 -37. A MIKROKONTROLLEREK 79 7.1 A mikrokontrollerek felépítése, elterjedt típusok 79 7.11 Az Intel 8048 nyolcbites mikrokontrollercsalád 80 7.12 Az Intel 8051 nyolcbites mikrovezérlő sorozat 81 7.13 A Microchip cég nyolcbites PIC mikrovezérlői 83 7.2 A mikrokontroller programozása, vezérlési alkalmazása 84 8. PC-VEL MEGVALÓSÍTOTT VEZÉRLŐ EGYSÉG 87 9. A PLD ÁRAMKÖRÖK 90 IRODALOM . 93 -4- -5- 1. A DIGITÁLIS RENDSZEREK MATEMATIKAI ALAPJAI A különféle irányítási

folyamatokban szereplő hatásokat fizikai hordozóik továbbítják. Ezen fizikai hordozók a jelek A rendszeren belül ezek üzeneteket továbbítanak, közvetítenek, közvetve információt visznek át. Az üzenet – vagy az információ – időfüggvénnyel kifejezett formája a hír, a "forrástól" a "vevő"-ig többszöri átalakítással jut. Az információ jelek formájában kerül közvetítésre. A jelek analóg illetve digitális természetűek lehetnek. Digitálisak azok a jelek, amelyek az információt számjegyes módon fejezik ki. A digitális jelek csak véges számú, külön álló diszkrét értéket vehetnek fel és ezek a felvehető értékek valamely egység egész számú többszörösei. A digitális rendszerekben, számjegyeket használunk az információ feljegyzésére és továbbítására. A számjegy /digit/ jelenthet mennyiséget, meghatározhat sorrendet, de bizonyos mértékig önkényesen választott jelképnek vagy

szimbólumnak is tekinthető. 1.1 A számrendszerek A számrendszerek a kódolás különleges formáját alkotják. Például 111 önmagában értelmetlen, viszont ha megadjuk, hogy a szám a decimális számrendszerben értendő, akkor értéke: 1⋅102 + 1⋅101 +1⋅100 = 111 10 A kettes számrendszerben pedig ugyanaz a szám: 1⋅22 + 1⋅21 +1⋅20 = 111 2 Néhány számrendszert, számjegyeiket és elnevezésüket az 1.1 táblázatban foglaltuk össze. Megnevezés Bináris (duális) Tetrális Oktális Decimális Hexadecimális Számrendszerek Alap Számjegyek 0,1 R = 2 10 R = 3 10 0,1,2 R = 8 10 0,1,2,3,4,5,6,7 R = 10 10 0,1,2,3,4,5,6,7,8,9 R = 16 10 0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F 1.1 táblázat A könnyebb átszámíthatóság kedvéért az említett számrendszerekben kifejezett első 16 számot táblázatosan is összeállítottuk (1.2 táblázat) A digitális technikában különösen fontos szerepe van a bináris számrendszernek. A bináris számok helyértékeit

bit-nek nevezzük az angol -6binary digit (bináris számjegy) szó rövidítéséből. A bit-et egyébként a digitális technikában az információ egységének tekintjük. Bináris 0 1 10 11 100 101 110 111 1000 1001 1010 1011 1100 1101 1110 1111 A számok kifejezése különböző számrendszerekben Tetrális Oktális Decimális Hexadecimális 0 0 0 0 1 1 1 1 2 2 2 2 10 3 3 3 11 4 4 4 12 5 5 5 20 6 6 6 21 7 7 7 22 10 8 8 100 11 9 9 101 12 10 A 102 13 11 B 110 14 12 C 111 15 13 D 112 16 14 E 120 17 15 F 1.2 táblázat Valamely számrendszerben kifejezett szám könnyen átszámítható a decimális számrendszerbe. A megfordított művelet valamivel bonyolultabb. Az egész számok átszámítása sorozatos osztással végezhető el, a maradékok adják az új számrendszer jegyeit. Különösen egyszerű az átszámítás a binárisról az oktális rendszerbe és megfordítva, mert 23=8. Hasonlóan egyszerű a kapcsolat a bináris és a hexadecimális rendszer között. Az

előbbi esetben ún triádokat, az utóbbiban ún. tetrádokat használhatunk fel az átszámításhoz Mennyi 1111,1011 2 a decimális számrendszerben? A megoldás: 1111 2 = 1⋅8 10 + 1⋅4 10 + 1⋅2 10 + 1⋅1 10 = 15 10 0,10112 = így 11 1 1 1 + + = 10 = 0,687510 2 10 810 1610 1610 1111,1011 2 =15,6875 10 . Nézzünk meg egy másik példát. Mennyi 47,25 8 a kettes számrendszerben? A 1.2 táblázat felhasználásával, sorozatos osztással a maradékok, illetve szorzással az egészek: 47 8 :2 8 23 8 :2 8 11 8 :2 8 4 8 :2 8 2 8 :2 8 12 12 12 02 02 2 8 ⋅0,25 8 =0,52 8 2 8 ⋅0,52 8 =1,24 8 2 8 ⋅0,24 8 =0,50 8 2 8 ⋅0,50 8 =1,20 8 2 8 ⋅0,20 8 =0,40 8 02 12 02 12 02 -718 12 2 8 ⋅0,40 8 =1,00 8 1 2 A végeredmény tehát: 47,25 8 = 100111, 010101 2 . 1.2 Az elemek száma szerinti legkedvezőbb alapszám meghatározása A címben megfogalmazottak érdekében, vizsgáljuk meg, melyik szám kínálkoznék a legkedvezőbb alapszámnak. Ezért fejezzünk ki egy

megközelítőleg azonos számot, kb. 1 milliárdot különböző számrendszerekben (1.3 táblázat) A táblázat utolsó előtti oszlopa mutatja, hogy valóban megközelítőleg azonos számokról van szó. Alapszám R Bináris 2 Helyérték száma n 30 Tetrális 3 19 Oktális 8 Decimális 10 Számjegyek száma C = Rn 230 9,03 Szerkezeti elemek száma E = nR 60 319 9,05 57 10 810 9,03 80 9 109 9,00 90 log C = n log R 1.3 táblázat Kiderül, hogy a szükséges szerkezeti elemek száma a ternális számrendszerben lenne a legkedvezőbb, de nincs messze az optimumtól a bináris számrendszer sem, míg az oktális és a decimális számrendszer meglehetősen távol esik. A bináris számrendszernek a szükséges szerkezeti elemszámon kívül az áramköri megvalósítás szempontjából is több előnye van: leképzéséhez csupán két stabilis állapot szükséges, így kétállapotú elemekkel: relékkel, tranzisztorokkal könnyen leképezhető. A bináris

számrendszer előnyös matematikai szempontból is, az aritmetikai műveletek igen egyszerűen hajthatók végre, a logikai ítéletalkotás is egyszerű. Ugyanazok a számjegyek használhatók fel mind az aritmetikai, mind a logikai műveletekhez. 1.3 Műveletek bináris számokkal Nézzük meg miként hajtható végre a négy alapművelet: az összeadás, a kivonás, a szorzás és az osztás a bináris számrendszerben. Két A és B bináris számjegy A + B = C, S alakú bináris összeadásáról a 1.4 szummációs táblázat tájékoztat A B C S -80 0 1 1 0 1 0 1 0 0 0 1 0 1 1 0 1.4 táblázat Itt C a következő helyértékre való átvitelt jelenti, amely a következő magasabb helyértékhez adódik hozzá, míg S az eredeti helyértéken mutatkozó összeget (szummát) adja meg. Az összegezést a bináris számrendszerben egy példával 1000111 0100010 1100101 = S 1 =C 1101001 szemléltetjük. Itt külön feltüntettük a C átvitelt is, de a végeredményt

természetesen figyelembevételével állapítottuk meg. Az A - B = D kivonás művelete az egyes helyértékeken a 1.5 táblázat alapján hajtható végre: K 0 0 0 1 A 0 1 1 0 B 0 0 1 1 D 0 1 0 1 1.5 táblázat Itt K az előző magasabb helyértékről vett kölcsönt jelenti. A gyakorlatban azonban általában nem ezt az utat követik, hanem a kivonás műveletét visszavezetik az összeadás műveletére. Ehhez bevezetik a komplemens (kiegészítő) fogalmát. A decimális számrendszerben egy számjegyet 9-re vagy 10-re egészíthetünk ki, például a 3 sz ámjegy 9-es komplemense 6, 10-es komplemense pedig 7. [Például 75-38 = 37 kivonást 9-es komplemenssel úgy végezhetjük el, hogy 75-höz hozzáadunk 61-et (38-nak 9-es komplemensét), a 136 összegből levágjuk a legnagyobb helyértékű 1-et és hozzáadjuk a legkisebb helyértékű számjegyhez, így valóban a helyes eredményt kapjuk. Ugyanezt a végeredményt úgy is megkaphatjuk, hogy 75-höz 62-t adunk hozzá

és a legmagasabb helyértéket levágjuk. Hasonlóképpen a bináris számrendszerben is megkülönböztethetünk 1-es és 2-es komplemenst. Az elsőt röviden inverz kódnak, a másodikat komplemens kódnak nevezzük. -9Az inverz kiszámítása egyszerűbb. Ezért a komplemenst sokszor úgy számítják ki, hogy az inverz legkisebb helyértékén egy 1-est hozzáadnak. Így pl. az 1001,010 inverzből az 1001,011 komplemens származik A komplemens segítségével a kivonást úgy végezzük el, hogy a kisebbítendőhöz (illetve komplemenséhez) hozzáadjuk a kivonandó komplemensét és a 2n+1 helyértéken mutatkozó bit-et elhagyjuk. Az inverz segítségével pedig úgy végezzük el a kivonást, hogy a kisebbítendőhöz (illetve inverzéhez) hozzáadjuk a kivonandó inverzét, a 2n+1 helyértéken mutatkozó bit-et levágjuk és hozzáadjuk a legkisebb helyértékhez. Például: Ha a végeredmény negatív (előjel bit-je 1), akkor még egy komplemens-, illetve

inverzképzés szükséges az egyenes kódba való visszatéréshez. Például: Ugyanezt a módszert használjuk egyébként negatív számok összeadásakor is. Az A • B = P bináris szorzás összefüggéseit a 1.6 táblázat foglalja össze. A B P 0 0 1 1 0 1 0 1 0 0 0 1 1.6 táblázat Például a 36 ⋅ 11 = 396 decimális szorzás bináris számokkal: - 10 A harmadik részletszorzatot, amely csupa 0-ból áll, ki se kell írni. Figyeljük meg, hogy a szorzandó számjegyeit egy-egy helyértékkel balra kell eltolni. Ez a bináris számok körében a duplázásnak felel meg Ahogy a szorzás sorozatos összeadásokra vezethető vissza, az osztást kivonások sorozatával oldjuk meg. Pontosabban az osztó inverzét vagy a komplemensét sorozatosan összegezzük. Az osztás során előfordulhat, hogy a kivonás negatív különbségre vezet. Ilyenkor helyre kell állítani az osztandót, a hányados megfelelő helyértékére 0-t kell írni. Viszont, ha a kivonás

pozitív különbséget ad, a hányados megfelelő helyértékére 1-et kell írni. 1.4 Kódolás, dekódolás A bináris jelek többféleképpen állíthatók elő. Az érintkezős rendszerekben a relé vagy kapcsoló érintkezőinek zárásával vagy nyitásával, az érintkezőmentes /félvezetős/ un. statikus rendszerekben feszültségszintekkel különböztetjük meg a bináris számjegyeket. A magasabb szintet nemzetközileg H-val /high level/, az alacsonyabbat L-lel /low level/ szokásos jelölni. Az érintkezőmentes dinamikus rendszerekben a bináris számjegyeket rögzített időtartamú villamos impulzusok állítják elő. Az impulzus megléte általában "1", hiánya pedig "0" számjegynek felel meg. Kódolásnak nevezzük azt a műveletet, amikor egy betűkből és számokból álló ábécé /szimbólumrendszer/ jelképeihez egy kód-ábécé jelképeit rendeljük hozzá egy un. kódkulcs segítségével Dekódolásnak a fordított műveletet

nevezzük, amikor is a kódábécé jelképeihez rendeljük az adott alfanumerikus ábécé szimbólumait. A kódábécének nem kell feltétlenül binárisnak lennie. Kimutatható azonban, hogy ilyenkor a dekódolás több alternatív döntést igényel, mint a bináris esetben. A leggyakrabban a decimális számjegyek kódolására van szükség. A decimális számjegyeket a kettes számrendszerben un. tetrádokkal /négy jegyből álló kifejezés/ lehet kódolni. Az 17 táblázatban a legfontosabb tetrád kódokat hasonlítottuk össze. Decimális számjegy BCD kód AIKEN kód STIBITZ kód GRAY kód 0 0000 0000 0011 0000 1 0001 0001 0100 0001 2 0010 0010 0101 0011 - 11 3 0011 0011 0110 0010 4 0100 0100 0111 0110 5 0101 1011 1000 0111 6 0110 1100 1001 0101 7 0111 1101 1010 0100 8 1000 1110 1011 1100 9 1001 1111 1100 1101 1.7 táblázat Az eredeti BCD, a szimmetrikus AIKEN és az ugyancsak szimmetrikus STIBITZ kódot

aritmetikai műveletek végrehajtására használják a vezérléstechnikában. A GRAY kódot elsősorban az analógdigitális átalakításnál alkalmazzák, ugyanis számlálás közben mindig csak egy bináris számjegy változik /lásd. a táblázatot/ és így a tévesztés lehetősége kisebb. Az "5-ből 2-es" kód, az un. kvináris kód is e lterjedt Ilyenkor az öt helyérték közül mindig két helyen van 1-es, a többi há rmon 0-s. A bikvínáris és kvibináris kód két csoportból áll, az egyik két bitet, a másik öt bitet tartalmaz. Mindkét csoportban egy-egy 1-esnek kell megjelennie A helyértékekből kiolvasható a hozzárendelt decimális szám. Ugyancsak hét helyértékkel dolgozik a Hamming-féle hibajavító kód is, amelynek dekódolója egy hibát ki tud j avítani. A táblázatban a leirt hibajavító ill felismerő kódokat tüntettük fel. /18 táblázat/ Decimális számjegy Kvináris kód Bikvináris kód Kvibináris kód 0 74210 11000

50 43210 01 00001 86420 10 00001 01 Hamming-féle hibajavító kód 0080421 0000000 1 00011 01 00010 00001 10 1101001 2 00101 01 00100 00010 01 0101010 3 00110 01 01000 00010 10 1000011 4 01001 01 10000 00100 01 1001100 5 01010 10 00001 00100 10 0100101 6 01100 10 00010 01000 01 1100110 7 10001 10 00100 01000 10 0001111 8 10010 10 01000 10000 01 1110000 9 10100 10 10000 10000 10 0011001 1.8 táblázat Ha olyan kódot ha sználunk, amelyben a hasznos bináris kombinációkon kívül un. felesleges /érvénytelen/ kombinációk is előfordulnak, akkor az egyes hasznos kombinációk meghatározott "d" bináris helyen - 12 különböznek egymástól. A d sz ámot helyértéktávolságnak /distanciának/ nevezik. Pl a 000, a 011, a 101 é s a 110 kódok tá volsága d= 2 Vannak olyan kódok is a hol a d nem állandó érték. A d távolságot Hamming-féle távolságnak nevezzük. Egy kódrendszerben a minimális Hamming

távolság meghatározza a biztosan felismerhető hibák számát. A kódolt f ormájú információ továbbítása többféle üzemmóddal történhet. Így lehet: soros, párhuzamos illetve vegyes /11 ábra/ 1.1 ábra Az adatátvitel néhány lehetősége Soros átvitel esetén az adó berendezés időben egymás után bocsátja ki a jeleket. Ez azt eredményezi, hogy az átvivő csatornák száma kicsi, viszont az adatátvitel ideje nagy. /1a ábra/ Párhuzamos átvitel esetén a kódolt inf ormáció továbbítása egyidejűleg több csatornán történik. /1b ábra/ Vegyes üzemmód esetén a két átvitel valamiféle kombinációjáról van szó. Ilyenkor az átviteli rendszerben soros-párhuzamos, és párhuzamos - soros átalakítók szerepelnek /1.c ábra/ Csak akkor célszerű alkalmazni, ha a feladat, vagy az adottságok ezt indokolják, mert a rendszerbe nő az adó és vevő oldalon alkalmazott berendezések bonyolultsága és ezzel együtt költsége. - 13 - 2.

DIGITÁLIS RENDSZEREK MŰKÖDÉSÉNEK LEÍRÁSA 2.1 Diagramok és táblázatok Digitális rendszerek működésének leírására szolgálnak a különféle működési diagramok. Ezek az áramkör kapcsolóinak állapotát a bemenő jel /jelek/ figyelembevételével, idő- vagy időszakfüggvényeként ábrázolják. A 2.1 ábrán jelfogós áramkörök leírásakor használatos un állapotjelző működési diagramot láthatunk. Jellegzetessége, hogy a baloldalon egymás alatt szerepelnek a működésben résztvevő relék betűjelzései, ezekkel egyvonalban vízszintes vonalat 2.1 ábra Állapotjelző működési diagram láthatunk akkor, ha a kérdéses relé működik /meghúz/, a vonal hiánya az elem alapállapotára /nyugalmi állapotára/ utal. Az állapotjelzű működési diagram érdekessége, hogy az alapját képező áramkörben végbemenő minden esemény egy ütemet jelent, függetlenül attól, hogy a valóságban milyen hosszú ideig tart. Félvezetős áramkörök

esetében, a jelek illetve az áramköri elemek különféle pontjainak mindkét állapotát fel szokták tüntetni, nevezetesen "1" és "0" állapotát is. Erre láthatunk példát a 22 ábrán A félvezetős áramkörök leírása idődiagrammal történik, az ábrán látható impulzusok hossza időarányos. Pneumatikus rendszerek esetében – különösen hengerek mozgásának ábrázolásakor – elterjedten alkalmazzuk az un. 2.2 ábra Idődiagram követő diagramot. 2.3 ábra Követődiagram - 14 - Ez hasonló az imént megismert idődiagramhoz, a különbség abban mutatkozik meg, hogy feltüntetik a nulla-egy egy-nulla átmenetek "sebességét". Ez azért előnyös, mert a technológiai folyamat által előírt hengermozgás a diagramon is követhető (2.3 ábra) Áramkörök működési viszonyainak leírása táblázattal is lehetséges, amely az állapotjelző működési diagram /ütemdiagram/ egyes időszakaihoz tartozó állapotokat

foglalja össze szimbólikus formában. Az elemek alapállapotát "0", munkaállapotát "1" jellel adjuk meg. A 21 ábrán látható állapotjelző működési diagramnak megfeIelő kombinációs táblázatot a 2.4 ábra tartalmazza. 1 2 3 4 5 6 7 8 9 K 0 1 1 1 1 1 0 0 0 A 0 0 1 1 1 1 1 0 0 B 0 0 0 0 1 1 1 1 0 C 0 0 0 1 1 1 1 1 1 L 0 0 0 0 0 1 1 1 1 2.4 ábra Kombinációs táblázat 2.2 A logikai algebra alapjai A logikai algebra alapjait George BOOLE angol filozófus vetette meg, gyakorlati alkalmazhatóságát NAKASIMA és HANZA japán mérnökök, másrészt Claude SHANNON amerikai mérnök matematikus dolgoztta ki. A logikai algebra alkalmazási területe igen széles: a távbeszélő rendszerekben, a számítógépekben, ipari automatikákban stb. nyer felhasználást. A kétértékű logikai algebra "két"-értékű változókra épül, s ezeket az értékeket, 1-gyel és 0-val jelöljük. Pl ha egy tétel igaz "1" az értéke, ha

hamis "0". A kapcsolástechnikában a kétállapotú kapcsolókat működtető jeleket illetően beszélünk két értékről: ha a jel létezik, értéke "1", ha nem létezik "0". Az "1" és "0" szimbólumokhoz tehát a jelek meghatározott "szintjeit" rendeljük. Mivel a jel értéke meghatározza a működtetett kapcsoló állapotát, az "1" és "0" szimbólumok a kapcsolók állapotainak leírására is szolgálhatnak. - 15 A logikai /Boole/ algebra alaptételei: 1. alaptétel X=1, ha X≠0 és X=0, ha X≠1 Ez azt jelenti, ha X=1, akkor nem lehet 0, és hasonló képpen, ha 0, akkor nem lehet 1. Vezessük be a második alaptétel kedvéért a "⋅" és a "+" jelöléseket, mint operátorokat. A "⋅" a közönséges algebrában a szorzást jelenti, itt azonban jelentése: logikai ÉS. A "+" operátor nem összegzést, hanem a logikai VAGY kapcsolatot

fejezi ki. Más megfogalmazásban a "+" a maximumképzés (max) jele, a "⋅" a minimumképzésé (min). 2. alaptétel 0⋅0 = 0 és 1+1 = 1 A fentiek kiolvasva: 0 ÉS 0 egyenlő 0-val, 1 VAGY 1 egyenlő 1-el. Másképp min(0,0); max(1,1). Az első alaptétel, és a második alaptétel két két sora duálja egymásnak. Egy tétel dual párja negációval /tagadással/ állítható elő; az a definiciója, hogy minden "⋅" jelet "+" jelre, minden "+" jelet "⋅" jelre, minden 1-est 0-ra és minden 0-t 1-esre cserélünk ki. Ez a dualitás elv a Boole algebra valamennyi alaptételében és tételében megnyilvánul. A dual párokat komplemens pároknak is szokás nevezni, ezek egymás negáltjai, egymás tagadásai. 3. alaptétel 1⋅1 = 1 és 0+0 = 0 4. alaptétel 1⋅0 = 0⋅1 = 0 és 0+1 = 1+0 = 1 A negyedik alaptétel megállapításai hasonlítanak a közönséges algebrában megismertekhez. Kifejezik, hogy a

közönséges algebrában szokásos felcserélési törvények a Boole algebrában is alkalmazhatók. 5. alaptétel 0=1 és 1= 0 Az 5. alaptétel a negációt /tagadást/ fejezi ki, ezt szokás NEM alaptételnek is nevezni. - 16 - 3. A LOGIKAI FÜGGVÉNYEKHEZ TARTOZÓ ALAPFOGALMAK A Boole algebrában – függvények leírásakor – a közönséges algebrához hasonlóan a változókat az ábécé betűivel jelöljük, azzal a különbséggel, hogy itt a változó értéke az "1" lehet; és ha ez az érték nem "1" akkor a változó "0" értékű. Az "1" és "0" szimbólumok, vagyis e számjegyek nem számszerű értékeket, hanem a jelek létét, vagy nem létét, a kapcsolók helyzeteit, állapotokat jelentenek. 3.1 A logikai függvény fogalma A különféle logikai kapcsolatokat matematikai megfogalmazásban a logikai függvény írja le. "ÉS" kapcsolatú logikai függvény: f Ž = A ⋅ B ⋅ C. vagy f Ž =

min(A, B, C,) "VAGY" kapcsolatú logikai függvény: f Ž = A + B + C + . vagy f Ž = max(A, B, C,) "NEM" kapcsolatú logikai függvény, ha a bejövő jel A: f Ž = A A logikai matematika nyelven ezt negációnak nevezzük. Az alaptételek és az "ÉS" (min) valamint a "NEM", illetve a "VAGY" (max) valamint a "NEM" függvény funkcionálisan teljes rendszert alkot. Ez azt jelenti, hogy ezekkel már kifejezhető, képezhető, (bizonyítható) a Boole algebra szerinti valamennyi összefüggés, függvény. A logikai algebra is, mint bármely más matematikai apparátus számunkra, mint segédeszköz kerül számításba. Kimunkálták a Boole algebra azon összefüggéseit, amelyek az alaptételek segítségével bizonyíthatók, és az áramköri tervezésben egyszerűsítéseket tesznek lehetővé alkalmazásuk által. Ezeket a logikai, algebra un tételeinek szokás nevezni. Rajzoljuk fel egyszerű kapcsoló

szimbólumokkal az első tételnek megfelelő kapcsolást (3.1 ábra) Miután ugyanaz a "független változó" szerepel az áramkör alsó és felső ágában: az A jelű kapcsoló, az egész kapcsolás "hatása" megegyezik egyetlen A kapcsoló hatásával, hiszen logikai szempontból mindegy, hogy egy, vagy több ugyanazon elem hozza létre a hatást, a kimeneti eseményt. - 17 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. A+A=A A⋅A = A A +1= 1 A ⋅1 = A A+0= A A ⋅0 = 0 A+A =1 A⋅A = 0 A + B = B+ A A ⋅ B = B⋅ A kommutatív törv. ( A + B) + C = A + ( B + C) = A + B + C asszociatív törv. ( A ⋅ B) ⋅ C = A ⋅ ( B ⋅ C) = A ⋅ B ⋅ C A + A⋅B= A A + A⋅B= A + B A ⋅ ( B + C) = A ⋅ B + A ⋅ C A + B ⋅ C = ( A + B) ⋅ ( A + C) disztributív törv. A ⋅ B + B ⋅ C + C ⋅ A = ( A + B) ⋅ ( B + C) ⋅ ( C + A ) A ⋅ B + A ⋅ C + B⋅ C = A ⋅ B + B⋅ C A ⋅ B⋅ C = A + B + C A + B + C = A ⋅ B⋅ C A 3.1b

ábrán a vizsgálatot megkönnyítő logikai táblázatot láthatunk. A táblázatban feltüntetjük a változók valamennyi kombinációját, ezek számát a kombinatorika ismétléses variációkra vonatkozó összefüggésével állapítjuk meg: 2 n = N , ahol N az összes kombinációk száma, n pedig a kapcsolók – független változók – száma. Esetükben: n = 3.1 ábra 1 1, 2 = 2. A 2. tétel a fentiekhez hasonló gondolatmenettel bizonyítható 31c, d. ábra Az A+1=1 tétel könnyen belátható, csupán azt kell meggondolni, hogy villamos szempontból mi jelenti a mindenkori "biztos" "1" eseményt. A "vezetés", más szóval rövidzár (3.2 ábra) 3.2 ábra - 18 Az 5. és 6 tételben "0" szerepel, ezt a "vezetés" komplemenseként "szakadásnak" kell értelmeznünk (3.3 ábra) A 7 tétel alapján megrajzolt hálózatban (3.4 ábra) párhuzamos kapcsolásban szerepel: egy alapállapotában áram utat

biztosító / A / kapcsoló, és egy alapállapotában áramutat nem biztosító /A/ kapcsoló. Ha meggondoljuk, hogy ugyanazon változó és annak negáltja szerepel vagy logikai kapcsolatban könnyen belátható, hogy a kimeneti esemény "1". Kövessük ezt végig a kapcsoláson, és tekintsük át a logikai táblázatot. 3.4 ábra 3.3 ábra Vizsgáljuk most meg a 14. tételt Ez szemlélet alapján nem látható be könnyen. A tétel igazát úgy bizonyíthatjuk be, hogy felrajzoljuk az egyenlet bal és jobb oldalának megfelelő kapcsolást (3.5 ábra), és ezeket elemezve külön-külön elkészítjük a logikai táblázatot, majd ezt összevetjük. Ha a két logikai táblázat megegyezik, a két kapcsolás, következésképpen a két logikai függvényalak azonos egymással (3.6 3.5 ábra 3.6 ábra ábrán). A 14. tétellel kapcsolatosan megismertük az azonosságok bizonyításának egyik módját, most a 18. tételt bizonyítsuk be tisztán logikai algebrai úton,

felhasználva az eddig megismert tételeket. - 19 A ⋅B + A ⋅C + B⋅C = = B⋅C + A ⋅C⋅ B + B + A ⋅B = ( ) 7. tétel = B⋅C + A ⋅B⋅C + A ⋅B⋅C + A ⋅B = 3. tétel = B ⋅ C ⋅ (1 + A ) + A ⋅ B ⋅ (C + 1) = = B ⋅ C ⋅ (1) + A ⋅ B ⋅ (1) = 4. tétel = B⋅C + A ⋅B A 19. és a 20, tételek az un DE MORGAN azonosságok, ezek a negálás korábban megismert szabályát alkalmazzák több változó esetén. 3.2 Logikai függvények a változók száma szerint A logikai függvényekben szereplő független változók száma szerint egy-, két- és többváltozós logikai függvényekről beszélhetünk. Miután a változók értékkészlete csak két értékre korlátozódik /"0", illetve "1"/, ezért a képezhető logikai függvények száma, a független változók számától függően meghatározhatók. Könnyen belátható, hogy "n" független változó n esetén a képezhető logikai függvények száma: N = 2 2

Ennek alapján n=1 változó esetén, a képezhető függvények száma: 1 N = 22 = 4 . A 1 0 A függvények logikai táblázata: f0 0 0 A függvények értelmezése: f1 0 1 f3 ≡ 1 f0 ≡ 0 , f2 1 0 E függvények A független változó f3 1 1 értékétől függetlenül konstans értékűek. Az f 0 -t "0-függvénynek", az f 3 -t "1-függvénynek" nevezzük. Az f1 = A függvény a NEM függvénnyel azonos. Az igazságtáblázatból látható, hogy az f 2 Függvény esetében a függő változó megismétli a független változó értékeit, azért e függvényt "Ismétlő"-nek, "ponált"-nak nevezzük: f 2 = A . Kézváltozós logikai függvények esetében a lehetséges függvények 2 száma: N = 2 2 = 16 . A 3.1 táblázatban láthatók ezen függvények A függvények sorszámát megadó index számértéke megegyezik a függvény "0", "1" logikai értékeiből alkotott, kettes számrendszerbeli szám megfelelő

decimális értékével. A táblázat áttekintésekor megállapíthatjuk, hogy az f 0 és f15 függvények a korábban megismert "0"- és "1"- függvények. - 20 - Megnevezés A B f0 f1 f2 f3 f4 f5 f6 1 1 0 1 0 1 0 1 0 „VAGY” függvény NEM-VAGY EKVIVALENCIA f7 1 1 1 0 f8 f9 0 0 0 1 1 0 0 1 NEM IMPLIKÁCIÓ f 10 f 11 0 1 0 1 1 1 0 1 NEM IMPLIKÁCIÓ f 12 f 13 0 0 1 1 1 0 1 1 NEM-ÉS 1-függvény f 14 f 15 0 1 1 1 1 1 1 1 „0” függvény „ÉS” függvény INHIBICIÓ ISMÉTLŐ INHIBICIÓ ISMÉTLŐ „kizáró” VAGY 1 0 0 0 1 1 0 0 1 0 1 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 Algebrai szimbólum – A⋅B A⋅B A A⋅B B A⊕ B = A⋅B+ A⋅B A+B A+B A⊗B = A⋅B+ A⋅B B B A = B+A A AB=A+B A⋅B – 3.1 táblázat Az f 3 = A és f 5 = B valamint, az f12 = A és f10 = B függvények értékét csak egy változó értéke befolyásolja, tehát egyváltozós függvényeknek tekinthetők. Az f 3 és f 5 az "Ismétlő"-, az

f12 és f10 a "NEM" függvény. Az f1 logikai függvény akkor "1" értékű ha A és B "1" értékű, eseményalgebrai megfogalmazásban: ha A és B állítás IGAZ, akkor az f1 összetett állítás értéke is IGAZ. Az f 2 függvény értéke akkor IGAZ, "1" értékű, ha A IGAZ, "1" értékű, és a B nem, vagyis "0" értékű. Ha B is igaz, akkor ez tiltja a függvény "1" értékét, – az összetett állítás igazságát – ezért ez a művelet a tiltás vagy inhibíció. Ha meggondoljuk, f 4 = AB ugyanígy értelmezhető két változó szerepének felcserélése után. Az f 6 függvény akkor ad "1"-et, ha a két változó közül vagy az egyik, vagy a másik "1" értékű, de mindkettő nem. Ezért ezt a kapcsolatot "kizáró" VAGY-nak szokás nevezni. f 6 = AB + AB Az f8 függvény a VAGY negáltja, megnevezése: NEM- VAGY f8 = A + B = A ⋅ B - 21 Az f 9 akkor ad

egyet, ha a két változó értéke egymással megegyezik, azért hívják e műveletet - e függvényt ekvivalenciának. f 9 = AB + A ⋅ B Az f11 és f13 a változók szerepének felcserélése után ugyanazt jelenti, e függvény az f 2 / f 4 / duál párja, megnevezése implikáció. f11 = A + B , f13 = A + B Az f14 függvény az ÉS negáltja, megnevezésére az NEM-ÉS kifejezést használják. 3.3 Szabályos alakok Az un. három alapműveletes /ÉS-VAGY-NEM/ logikai rendszerben logikai függvényeknek általában két szabályos v. kanonikus alakját értelmezik: ez a minterm és a maxterm alak. Teljes normálformáról vagy kanonikus alakról beszélünk akkor, ha a tagokban az összes változó szerepel eredeti (ponált), vagy negált formában. A kétféle kononikus alak egyenértékű, mindig előállítható és egymásba átalakítható. A kononikus alakban szereplő tagok közös jellemzője: minden tagban szerepel a függvény összes változója /állítva v.

tagadva/, egyik tagban sem szerepel többször ugyanazon változó, a változók sorrendje közömbös /a kommutativitás miatt/. Matematikailag kimutatható, hogy n változóból 2n egymástól különböző tag /term/ állítható elő, amely eleget tesz a fenti három feltételnek. A szorzatból álló tagokat minterm-nek nevezzük és m-el jelöljük, az összegből álló tagokat maxterm-nek nevezzük és M-el jelöljük. A digitális technikában alkalmazott Boole-algebra alapproblémája a kombinációs táblázattal megadott vezérlési feladathoz a legegyszerűbb algebrai alak megkeresése, mert ez valósítható meg a legegyszerűbb kapcsolással. 3.4 Kifejtési tételek Felmerül az a kérdés, hogyan lehet egy kombinációs táblázatával adott logikai függvény kanonikus alakjait előállítani. - 22 - A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 f 0 1 0 1 0 0 1 1 3.2 táblázat A 22 B 21 C 20 Bináris szám 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 0

1 0 1 0 1 000 001 010 011 100 101 110 111 3.3 táblázat Dec. szám sorszám 0 1 2 3 4 5 6 7 Példaként tekintsük az 3.2 táblázat szerinti kombinációs táblázattal adott logikai függvényt. 1. A kombinációs táblázatban a változók értékét a bináris számok növekvő sorrendjében töltjük ki. (33 táblázat) Minden sor a bináris számnak megfelelő sorszámot kapja. A sorszám i=0-tól i=2n-ig terjed Jelen példában n=3; 2n= 8; i=0-tól i=7, 0–7-ig terjed. 2. A függvényérték minden sorban a sorszámnak megfelelő indexet kapja: f 0 ; f 1 ; f 2 ; . Példákban: f0 = f2 = f4 = f5 = 0 f1 = f3 = f6 = f7 = 1 3. Minden sorban állítsunk elő egy-egy minterm és maxtermet, az előző szabályok figyelembevételével úgy, hogy az adott sorban 0 értékű változókat negált, az 1 értékű változókat negálatlan formában szerepeltessük. A term-eknél is a decimális sorszám legyen az index Sorszám i Változók A B C Minterm Maxterm függv. érték 0

0 0 0 m0 = A ⋅ B ⋅ C M0 = A + B + C f0 1 0 0 1 m1 = A ⋅ B ⋅ C M1 = A + B + C f1 2 0 1 0 m2 = A ⋅ B ⋅ C M2 = A + B + C f2 3 0 1 1 m3 = A ⋅ B ⋅ C M3 = A + B + C f3 4 1 0 0 m4 = A ⋅ B ⋅ C M4 = A + B + C f4 5 1 0 1 m5 = A ⋅ B ⋅ C M5 = A + B + C f5 6 1 1 0 m6 = A ⋅ B ⋅ C M6 = A + B + C f6 7 1 1 1 m7 = A ⋅ B ⋅ C M7 = A + B + C f7 3.4 táblázat - 23 Az eddigieknek megfelelően kitöltött táblázatunk háromváltozós esetre az 3.4 táblázatban látható 4. A term-ek birtokában a keresett logikai függvényeket teljes normálformában a következő módon kapjuk: Minterm-k segítségével /diszjunktív kanonikus alak/: fmin = f 0m 0 + f1m 1 + f 2m 2 + . + f 7m 7 = Jelen példákban: 2 n −1 ∑ fim i i =0 f min = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C Látható, hogy a mintermeket ott ke ll figyelembe venni, ahol a függvényérték 1, ugyanis f i mi =0 ha f i =0

Maxterm-k segítségével /konjuktív kanonikus alak/: fmax = (f 0 + M 7 )(f1 + M 6 )(f 2 + M 5 ).(f 7 + M 0 ) = ∏ (fi + M (2 −1)− i ) i=0 2n −1 n Az 3.4 táblázat alapján belátható, hogy minden maxterm felírható mint egy minterm negáltjával /és fordítva/: pl.: m 0 = M 7 Jelen példánkban a "maxterm"-es alak: ( )( )( )( f max = A + B + C ⋅ A + B + C ⋅ A + B + C ⋅ A + B + C ) Mint említettük a két kanonikus alak egyenértékű azaz: f min ≡ f max A példa szerinti f min egyszerűsítésével: { } { } f min = A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C + A ⋅ B ⋅ C = A ⋅ C + A ⋅ B     A⋅C⋅ B + B A⋅B⋅ C + C ( ) ( ) 3.5 Logikai függvények minimalizálási eljárásai A műszaki gyakorlat megköveteli a minél egyszerűbb és a leggazdaságosabb technikai realizálást ezért a logikai függvények minimalizálásának számos módszere alakult ki. Ezek

közül kettővel fogunk megismerkedni. Mielőtt rátérnénk ezen eljárások tárgyalására két példa segítségével bemutatjuk a Boole algebra tételei alapján a függvények egyszerűsítését. 1. példa [ )] ( ( f = A⋅B+ A B+ C ⋅ A + B Végezzük el A + B -el a beszorzást. [ ( f = A⋅B+ A⋅B B+ C )] ) 8. tétel - 24 A zárójeles kifejezéssel beszorozva, alkalmazva a 2. tételt f = A⋅B + A⋅B + A⋅B⋅C Emeljük ki az utolsó két tagból A B -t. ( f = A ⋅ B + A ⋅ B 1+ C Felhasználva a 3. majd a 4 tételt: ) f = A⋅B+ A⋅B kifejezéshez jutunk, amely már egyszerűbb alakba nem hozható. 2. példa f = A ⋅B⋅C + A ⋅B⋅C + A ⋅B⋅C + A ⋅B⋅C + A ⋅B⋅C Praktikus átrendezés után: f = A ⋅B⋅C + A ⋅B⋅C + A ⋅B⋅C + A ⋅B⋅C + A ⋅B⋅C Az utolsó négy tagból emeljük ki C-t. ( f = A⋅B⋅C + C A⋅B + A⋅B + A⋅B + A⋅B ) A zárójelen belül egyszerűsítéseket végezhetünk a 7. és 4 tétel

felhasználásával. ( [ ( f = A⋅B⋅C + C A + A⋅ B + B ) )] ( ) f = A⋅B⋅C + C⋅ B + A = A⋅B⋅C + C⋅A + C⋅A = A⋅ B⋅C + C + C⋅A f = A⋅B+ A⋅C + C⋅A = A⋅B+ C A legegyszerűbb függvény megkeresése így nem áttekinthető és igen sok tévedési lehetőséget rejt magában, és ha még nem is tévedünk akkor sem biztos, hogy a legegyszerűbb eredményre jutunk (ld. 2 példa) Ezért 3 - 6 változó esetén a VEITCH /E.WVeitch 1952/ é s a KARNAUGH /B. Karnaugh 1953/ táblázatot célszerű alkalmazni az egyszerűsítés céljából.Nézzük meg először a Veitch diagramot mintermes formában háromváltozós logikai függvényekre kissé részletesebben. A Veitch diagramban mátrix alakban elhelyezett annyi darab négyszög van, ahány minterm, azaz három változónál 8 /áltálában 2n/ db. Minden négyszögben egy-egy minterm van az 3.7 ábra szerinti elrendezésben. A diagramnál vonalat húztunk a változók ponált értékeinél. 3.7 ábra

Milyen tulajdonságokkal rendelkezik ez a táblázat? Ha bármelyik egymás melletti kettő hatványai szerinti számú minterm szerepel függvényünk kanonikus alakjában, a függvény egyszerűsíthető. Pl: ( ) A ⋅B⋅C + A ⋅B⋅C = B⋅C⋅ A + A = B⋅C  1 - 25 A két tagból tehát egy marad az egyszerűsítés után és ebben az a két változó szerepel, amely mindkét tagban közös volt (3.8a ábra) (3.8b ábra) Ugynígy: A B ⋅ C + A ⋅ B ⋅ C+A B ⋅ C + A ⋅ B ⋅ C=A ⋅ ⋅ A⋅B A⋅B Az ábra szélén lévő kockák is egymás mellett vannak /"mintha egy hengerre" lenne az ábra felcsavarva/, (3.8c ábra) így pl: ( ) A ⋅B⋅C + A ⋅B⋅C = B⋅C⋅ A + A = B⋅C  1 3.8 ábra A gyakorlatban a Veitch diagram használata esetén a mintermek felírását is mellőzik és az igazságtáblázatból közvetlenül 1 jelzést írnak azokba a négyzetekbe, ahol a

függvényérték 1. pl a 32 táblázat szerinti kombinációs táblázatból a Veitch diagram közvetlenül kitölthető (3.9 ábra) 1. Megkeressük az f=1 függvényértékű sorokat Pl.: A ⋅ B ⋅ C 2. Megkeressük azt a négyszöget ahol es a minterm elhelyezkedik és oda 1-et írunk. 3. Miután a diagramot kitöltöttük, a kettő hatványainak megfelelő egymás melletti tagot egybekapcsolunk és a közös változókat írjuk ki 3.9 ábra szorzat formájában, majd ezeket "összeadjuk". Az ábra alapján jelenleg: f = A ⋅ B + A ⋅ C ami természetesen megegyezik a korábban nyert fmin függvénnyel. A Veitch diagramnál különösen többváltozós esetben nehézséget okoz az igazságtáblázatból a diagram kitöltése. Ezen a nehézségen segít a KARNAUGH táblázat. Ez szintén egy matrix elrendezés akárcsak a Veitch diagram. Itt azonban minden négyszögnek egy-egy számot tulajdonítunk - 26 Pl: háromváltozós esetre az elrendezés a 3.10 ábra

szerinti Összevetve azt az ábrát az 3.3 táblázattal láthatjuk, hogy az egyes négyszögekben az a 3.10 ábra 3.12 ábra decimális sorszám van, amelyet az ABC változók értéke bináris számban kiad. /lásd ábra/ Az egyszerüsítés elve a Karnaugh táblázatban ugyanaz, mint a Veitch diagramban. Legcélszerübb a két módszer összekapcsolása és az összevont Veitch-Karnaugh táblázat alkalmazása, amely mind a táblázat kitöltését, mind az egyszerűsítést igen könnyűvé teszi. 2, 3, 4, 5 és 6 változó esetére a VEITCH - KARNAUGH táblázatokat a 3.12ábra mutatja A Quine – Mc Cluskey féle numerikus egyszerűsítési módszer olyan szisztematikus eljárás, amely tetszőleges számú független változó esetén alkalmazható. A diszjunktív normál alakban adott függvény egyszerűsítésének lépései: - 27 1. A függvényben szereplő mintermek bináris kódjainak felírása, és a mintermek csoportokba sorolása a kódjaikban előforduló

1-esek száma szerint, az egyesek száma szerint növekvő sorrendben. A csoporton belül a mintermek nagyság szerint növekvő sorrendben következnek. Példa: f(A,B, ., F) = ∑(0, 2, 6, 7, 8, 10, 12, 14, 15, 41) a, Két csoport szomszédos, ha a két csoporthoz tartozó 1-esek számának különbsége 1. Szomszédos mintermek ezért csak szomszédos csoportokban lehetnek, ami az egyszerűsítést megkönnyíti. b, Ha két minterm szomszédos, akkor a a minterm 1-esek csoporto decimális megfelelőiknek különbsége bináris kódja száma -sítás 0 000000 0 0 2-nek nem negatív egész kitevőjű 2 000010 1 2 hatványa. Fordítva, ha két szomszédos 6 000110 2 8 csoportból való minterm decimális 7 000111 3 6 8 001000 1 10 megfelelőinek különbsége 2 ne m 10 001010 2 12 negatív egész kitevőjű hatványa, és 12 001100 2 7 14 001110 3 14 több egyest tartalmazó csoportból 15 001111 4 41 való minterm decimális megfelelője a 41 101001 3 15 nagyobbik, akkor a két minterm

szomszédos. 2. Az összehasonlítást a legelső elemmel kezdjük Ezt csak a rákövetkező szomszédos csoport elemeivel kell összehasonlítani. Ha találunk olyan számpárt, amelyek b,-nek megfelelő 0,2 (2) értelemben összevonhatók, akkor mindkettőt 0√ 0,8 (8) –– oldalt √ jellel megjelöljük, és a számpár 2√ –––––––––––– 2,6 (4) elemeit növekvő sorrendben egy új 8√ 2,10 (8) oszlopban egymás mellé írjuk, majd a –– 8,10 (2) zárójelben megjelöljük a különbségüket is. 6√ 8,12 (4) 10√ Ez jelöli ugyanis az elhagyható változó 12√ –––––––––––– 6,7 (1) helyértékét. –– 6,14 (8) Az első és a harmadik csoport elemeinek 7√ (4) 10,14 összehasonlításával keletkező számpárok 14√ (2) 12,14 alkotják a második oszlop első csoportját. 41 –––––––––––– –– 7,15 (8) Ezt követően elvégezzük az összehasonlítást 15√ 14,15 (1) az első oszlop második

csoportjának elemei között. A keletkező számpárok a második oszlop második csoportját fogják alkotni stb. Az összehasonlítást az első oszlop összes szomszédos csoportja között elvégezzük. - 28 3. A második oszlopból a harmadik oszlopot a 2-ban leírt módon képezzük, de az összevonás feltétele az, hogy a zárójelben levő összes szám megegyezzen (ugyanazon változók hiányozzanak mindkét csoportból) és az első decimális szémok különbsége 2 pozitív egész kitevőjű hatványa legyen, és a hátrább álló csoportból való decimális szám legyen a nagyobbik. Ha nem végezhető el az összevonás, akkor az egyes oszlopkban √ jellel nem megjelölt szorzatok a primimplikánsok. Az eddigiekben végzett műveletek a grafikus eljárásnál a tömbösítésnek felel meg. 0√ –– 2√ 8√ –– 6√ 10√ 12√ –– 7√ 14√ 41 –– 15√ 0,2 (2) √ 0,8 (8) √ –––––––––––– 2,6 (4) √ 2,10 (8) √ 8,10 (2)

√ 8,12 (4) √ –––––––––––– 6,7 (1) √ 6,14 (8) √ 10,14 (4) √ 12,14 (2) √ –––––––––––– 7,15 (8) √ 14,15 (1) √ 0, 2, 8, 10 (2,8) b –––––––––––––––––––– 2, 6, 10, 14 (4,8) c 8, 10, 12, 14 (2,4) d –––––––––––––––––––– 6, 7, 14, 15 (1,8) e 4. A szükséges primimplikánsok kiválasztása a primimplikáns táblázattal történik. A táblázat sorainakszáma megegyezik a primimplikánsok számával. A táblázat oszlopainak száma megegyezik a függvényt alkotó mintermek számával. Minden primimplikáns sorában megjelöljük azon mintermek oszlopait, melyeket az illető primimplikáns tartalmaz. A függvényt alkotó primimplikánsokat úgy kell kiválasztani, hogy együttesen valamennyi mintermet tartalmazzák. E célból karikázzuk be azon jeleket, melyek egyedül állnak oszlopukban (3.13 ábra) Azon primimplikánsokat, melyek sorában

bekarikázott jel van nélkülözhetetlen primimplikánsok, mert olyan mintermet is tartalmaznak, melyek más primimplikánsokban nem fordulnak elő. A nélkülözhetetlen primimplikánsoknak a függvényben feltétlenül szerepelniük kell. - 29 Példánkban: a, b, c, d, e. 3.13 ábra Ezután √ jellel jelöljük meg a táblázat fejlécén azon mintermeket, melyeket a nélkülözhetetlen primimplikánsok tartalmaznak (példánkban valamennyit).Amennyiben maradnak olyan mintermek, amelyeket a függvény tartalmaz, de a lényeges primimplikánsok nem tartalmaznak, úgy azokat célszerűen kiválasztott primimplikánsokkal kell megvalósítani. Az egszerűsített példabeli függvény: f = a + b + c + d + e = A ⋅ B⋅ C ⋅ D ⋅ E ⋅ F + A ⋅ B⋅ D ⋅ F + A ⋅ B⋅ C ⋅ F + A ⋅ B⋅ D ⋅ E - 30 - 4. LOGIKAI HÁLÓZATOK A logikai hálózatok lehetnek: a) kombinációs vagy kapcsoló hálózatok, b) szekvenciális vagy sorrendi hálózatok. A szekvenciális

hálózatok egyéb elnevezése időrendi vagy többütemű hálózatok. 4.1 Kombinációs hálózatok A kombinációs hálózat kimenő változóinak (A, B, C, ., N) értékét valamely időpontban a bemenő változók (a, b, c, ., n) ugyanazon időpontbeli értékei egyértelműen meghatározzák (4.1 ábra) A kimenő változók tehát a bemenő változók logikai függvényeivel állíthatók elő: Y 1 = F 1 (A, B, ., N) Y 2 = F 2 (A, B, ., N) : Y i = F i (A, B, ., N) : 4.1 ábra Y m = F n (A, B, ., N) i = 1, 2, ., m Kombinációs hálózat jelképi jelölése Hét vízszintesen és ferdén elhelyezett világítóelemet tartalmazó vonalkijelzővel kapcsolatos tervezési példát mutatunk be a kombinációs áramkör tervezésének illusztrálására. 1. példa Tervezzük meg azt az áramkört, amely a 42 ábrán bemutatott hét elemes számjegykijelző T, V és X elemeit működteti A működtető hálózat négy bemenő vezetéken kapja a kijelzendő számot binárisan /kettes

számrendszerben/ kódolt f ormában. A feladat blokkvázlata a 4.3 ábrán látható 4.2 ábra 4.3 ábra - 31 A logikai táblázatban a négy ABCD ft fv fx bemenő változó lehetséges 0 0000 1 1 1 értékvariációit és a kimeneti függvények 1 0001 0 1 0 0010 értékeit tüntettük fel. /lásd 41 táblázat/ 2 1 0 1 0011 A négy bemenő változó kettes 3 1 1 0 4 0100 0 1 0 számrendszerbeni értékvariációinak 5 0101 1 1 0 száma ismeretesen 16, melyből 6 0110 1 1 1 0111 számunkra az első tíz lehetséges, és a 7 1 1 0 1000 maradék érvénytelen. /Ezt a kódolási 8 1 1 1 9 1001 formát, mint a korábbiakban láttuk BCD 1 1 0 kódnak – binárisan kódolt decimálisnak 10 Érvénytelen . – nevezik,/ . kombinációk A logikai függvények felírását az 15 4.1 táblázat f v függvénnyel kezdjük, hiszen a V elem minden szám megjelenítésében részt vesz a 2-est kivéve, így negáltja: f v = A ⋅ B ⋅ C ⋅ D azaz f v = A ⋅ B ⋅ C ⋅ D . Az f t

függvényt is könnyű felírni, ha a negáltjából indulunk ki. A negált függvényt kiemeléssel egyszerűsíthetjük, majd visszaalakítva kapjuk a végső formát: ( f t = A ⋅ B⋅C ⋅ D + A ⋅ B⋅C ⋅ D = = A ⋅C ⋅ B⋅ D + B⋅ D azaz f t = A ⋅ C ⋅ ( B ⋅ D + B ⋅ D) . 4.4 ábra Ebből kiemeléssel kapjuk: ) Az f x függvény felírásához felhasználjuk a VEITCH diagramot, /ld. a 44 ábrát/, melyben az érvénytelen kombinációkat "X" is feltüntettük. A függvény első tagja a 0, és 8 helyeken található "1"-eket, a második tag pedig a 2. és 6 helyeken meglévő "1"-eket tartalmazza. Az utóbbi ta gnál falhasználtuk azokat az érvénytelen kombinációkat is, melyek a 10. és 14 helyeken találhatók Így alakult ki a függvény következő alakja: f x = B ⋅ C ⋅ D + C ⋅ D ( fx = D C + B ⋅ C ) Felhasználva az egyszerűsítési törvényeknél tanult A + A ⋅ B = A + B összefüggést a függvény

végső alakja: f x = D ⋅(C + B) A kapott függvényeket többféleképpen lehet megvalósítani. A megvalósítás érdekében esetleg szükségessé válhat a függvények további átalakítása. - 32 2. példa Készítsünk un analóg-digitál konvertert kazán belső túlnyomásának jelzésére. A problémát a jellemző vonások megtartása mellett a lehetséges mértékben leegyszerűsítjük. Feltételezzük, hogy a mérendő nyomás max. 4 daN⋅cm-2⋅s ezt a kazán belső terével összekötött négy mérőkapcsoló érzékeli, amelyek sorban 1, 2, 3 és 4 daN.cm-2-nél működnek és ekkor információt szolgáltatnak a tervezendő áramkör részére. A bemeneti-kimeneti viszonyokat az ábra mutatja. Az a-b-c-d bemeneteken az 1-2-3-4 daN⋅cm-2-nek megfelelő jel érkezik, a kimeneten x, y és z csatlakozó pontokon a bemeneti oldalon 4.5 ábra fennálló nyomás nagyságáról kettes számrendszerben kódolt információ kell, hogy megjelenjen. Ha a kazán

nyomása 1 daN⋅cm-2, 1 BE KI értékű jel legyen az x kimeneten, 2 (Kazán túlnyomás) -2 daN⋅cm esetén ugyanez az y kimeneten, 3 semmi semmi -2 -2 daN⋅cm esetén az x és y, míg 4 daN⋅cm a x belső túlnyomás esetén pedig a z a, b y kimeneten legyen 1 értékű jel. a, b, c x, y Ennek alapján az un. eseménya, b, c, d z táblázat a mellékelt. A felsoroltaktól eltérő bemeneti jelzés érvénytelen! A logikai táblázat az érvénytelen értékvariációk elhagyásával: Sorszám A B C D fx fy fz 0 8 12 14 15 0 1 1 1 1 0 0 1 1 1 0 0 0 1 1 0 0 0 0 1 0 1 0 1 0 0 0 1 1 0 0 0 0 0 1 A VEITCH táblázat az érvénytelen kombinációk jelölésével /x/ is, az 4.6 ábrán látható A vastag vonallal írt "1"-esek a logikai táblázatból adódnak, az üresek az egyszerősítes kedvéért az érvénytelen (közömbös) kombinációk helyére kerültek felvételre. - 33 - 4.6 ábra A keresett logikai függvények a megfelelő csoportképzések

után (ld. jegyzet): f x = A B + CD f y = BD fz = D Félvezetős /integrált áramkörös/ realizálásnál rendelkezésünkre állnak "NEM-ÉS" és "NEM-VAGY" kapuáramkörök. A korábban felirt függvények közül az f x -t és f y-t át kell alakítani a fenti lehetőségek miatt. Negáljuk kétszer a függvényeket: f x = A B + CD = A B ⋅ CD f y = BD = B + D Ezek alapján már elkészíthető a kapcsolás, a PEK gyakorlótábla jelöléseivel: 4.7 ábra - 34 3. példa Megtervezendő az a kódoló áramkör, amely BCD-ből GRAY-kódba alakítja át a 0.9 decimális számokat Szám BCD kód GRAY kód A B C D fx fy fz fw 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 2 0 0 1 0 0 0 1 1 3 0 0 1 1 0 0 1 0 4 0 1 0 0 0 1 1 0 5 0 1 0 1 0 1 1 1 6 0 1 1 0 0 1 0 1 7 0 1 1 1 0 1 0 0 8 1 0 0 0 1 1 0 0 9 1 0 0 1 1 1 0 1 Ha a többi kom binációt érvénytelennek tekintjük,

a függvények sorrendben: fx = A fy = A + B f z = A ⋅ BC + BC f w = DC + C D A vastag vonallal írt "1"-esek a logikai táblázatból adódnak, az üresek az egyszerűsítes kedvéért az érvénytelen (közömbös) kombinációk helyére kerültek felvételre. A függvényeket megvalósító logikai kapcsolást az 4.8 ábra mutatja Az f x .f w függvények átalakítása, ha NOR és NAND kapuáramkörök állnak rendelkezésünkre: fx = A fy = A + B = A ⋅B f z = A ⋅ BC + BC = A ⋅ BC ⋅ BC = A ⋅ BC ⋅ BC = A B + C ⋅ BC f w = DC + C D = DC ⋅ C D - 35 Az átalakításnak megfelelő logikai kapcsolás a 4.9 ábrán látható 4.8 ábra 4.9 ábra 4.2 Szekvenciális hálózatok Szekvenciális hálózat kimenő változóinak adott időpontbeli értéke nemcsak a bemenő változók ugyanazon időpontbeli értékétől függ, hanem a bemenő változók vezérlésének sorrendjétől, a hálózat előző vezérlési állapotától is A bemenő változók

azonos kombinációhoz a kimenő változók más és más értéke tartozhat attól függően, hogy milyen időpontban 4.10 ábra lép fel az adott bemeneti kombináció. Mivel a bemeneti változók kombinációján kívül e zek megjelenési ideje (sorrendje) együttesen határozza meg a kimenő változók értékét, ezért az ilyen tulajdonságú logikai hálózatokat szekvenciális (vagy sorrendi) hálózatoknak nevezik. A szekvenciális hálózatban tehát egy új változó is fellép, az idő, mert a bemenő változók adott kombinációjához más-más időpontban különböző kimenő jelet rendelhetünk. A bemeneti változók nem határozzák meg egyértelműen a kimeneti változó értékét, ezért a bemeneti változókat újabb belső változókkal kell kiegészítenünk. A belső (vagy szekunder) változók egyben rögzítik - 36 (tárolják) a hálózat előző vezérlési állapotait, és a bemenő változókkal együtt már egyértelműen meghatározzák a kimenő

változók értékét. A belső változókat tároló elemek (szekunder elemek) segítségével valósítják meg, melyek vezérlését a bemenő változók végzik. A belső változókat realizáló tárolók állapotai a hálózat belső állapotai (Q 1 , Q 2 , . Q r ) A belső állapotok a bemenő változók és a tárolók állapotaitól függenek. (4.10 ábra) A szekvenciális hálózatok tömbvázlata tehát tartalmaz a kimenő változók előállítására szolgáló kombinációs hálózatot és a belső állapotok (szekunder változók) 4.11 ábra előállítására szolgáló szekunder változó képző (tároló) hálózatot. A szekvenciális hálózatokat két csoportra osztjuk: a) Szinkron, órajellel működő vagy impulzus üzemű szekvenciális hálózatok, b) Aszinkron, vagy órajel nélkül működő szekvenciális hálózatok. 4.21 Szinkron szekvenciális hálózatok A szinkron szekvenciális hálózatok kombinációs hálózatának valamennyi bemenőjelét

általában órajellel (szinkronizáló jellel) kapuzzuk. A szinkron működésű szekvenciális hálózat a bemenőjelnek az órajel pillanatában fellépő értékeit hasznosítja. A szekunder változókat tartalmazó belső állapot tárolókat ugyancsak az órajel beérkezésének pillanatában olvassuk ki. A visszacsatolást tartalmazó szekvenciális áramkör tárolóinak állapotát a (k+1)-ik ütemben a bemenő változók és a tárolók k-adik ütemben felvett értékei határozzák meg (4.11 ábra): ( Q ik +1 = G i A k , B k ,., N k ; Q 1k , Q 2k ,, Q kr ) ahol: i = 1, 2, . r A kimeneti változók k-adik ütembeni értékét a bemeneti változók és a szekunder változók (belső állapotok) k-adik ütemben felvett értéke határozza meg: ( Yik = Fi A k , B k ,., N k ; Q 1k , Q 2k ,, Q kr ) - 37 - ahol: i = 1, 2, . m 4.22 Aszinkron szekvenciális hálózatok Aszinkron működésű szekvenciális hálózat kombinációs hálózatának bemenőjeleit nem

kapuzzuk. A bemenőjelek és a szekunder változók jelének változását közvetlenül érzékeli a kombinációs hálózat, és a felhasznált áramkörök késleltetési idejétől függően (tehát rövid idő alatt) megváltoztatja kimenőjeleit, így a tárolók vezérlőjeleit is. Ennek és a bemenőjel esetleges változásának hatására keletkező kimenőjel ismét közvetlenül megjelenik stb. Az aszinkron szekvenciális hálózat tehát egy sztatikus jelekkel vezérelt, órajellel történő kapuzás nélküli, általános visszacsatolt kombinációs hálózat. A stabil egyensúlyi állapotot a visszacsatoló hurokban levő erősítés biztosítja. Az aszinkron szekvenciális hálózat tárgyalásánál eleve feltételezzük, hogy az áramkör mindig tartalmaz aktív elemet. Az aszinkron működésű visszacsatolt hálózat működési sebességét a felhasznált logikai tároló áramkörök működési sebessége határozza meg. Szekvenciális hálózatok mindig

tartalmaznak visszacsatolást, a visszacsatoló hurok pedig késleltetést. A késleltetés minden visszacsatolt rendszer stabil állapotainak kialakulásában jelentős szerepet játszik. Aszinkron működésű szekvenciális áramkörökben a késleltetés, több visszacsatoló hurok különböző terjedési ideje a hálózat helytelen működéséhez, instabil egyensúlyi állapotok kialakulásához, versenyfutási jelenségekhez stb. vezethet A hálózat működésére tehát a vezérléseken kívül az áramköri késleltetések is döntő hatással vannak. A késleltetéseket külön feltüntetjük az aszinkron hálózat általános tömbvázlatán (4.12 ábra) A tömbvázlat n számú bemenettel (A, B, ., N), m számú kimenettel (Y 1 , Y 2 , ., Y m ) és r visszacsatoló hurokkal rendelkező áramkört ábrázol A késleltető elemek bemenetén levő Q 1 , Q 2 , ., Q r vezérlőjelek (szekunder gerjesztések) általában nem egyeznek meg a késleltető elem kimenetén levő q 1

, q 2 , ., q r szekunder változókkal (válaszjelekkel) A fentiek alapján világos, hogy a szekunder gerjesztések és szekunder változók száma mindig azonos. - 38 A szekunder gerjesztéseket a bemeneti változók és a szekunder változók függvényeivel állíthatjuk elő: Q i = G i (A , B,., N; q 1 , q 2 ,, q r ) ahol: i = 1, 2, . r G pedig a szekunder változókat előállító függvénykapcsolat A kimeneti változókat ugyancsak a bemeneti változók és a szekunder változók segítségével határozhatjuk meg: Yi = Fi (A , B,., N; q 1 , q 2 ,, q r ) ahol: i = 1, 2, . m R=R(t) S=S(t) Q(τ)=Q(t 0 +∆t) 0 0 Q(t 0 ) 0 1 1 1 0 0 1 1 Határozatlan 4.2 táblázat A késleltetés nélküli logikai hálózat visszacsatolást már nem tartalmaz. A visszacsatoló hurkok a hálózat belső állapotait, a tárolást 4.12 ábra valósítják meg. A késleltetés nélküli logikai hálózat tehát mindig kombinációs hálózat. Első példaként nézzük meg az RS tárolót,

mint aszinkron szekvenciális hálózatot (4.2 táblázat): Az RS tároló SET (beíró) bemenetét gerjesztve a tároló 1-es, RESET (törlő) bemenetét gerjesztve 0-ás állapotba kerül. Az R és S bemenetek - 39 egyidejű gerjesztésekor az áramkör működése bizonytalanná válik, ezt a vezérlési állapotot a vezérlőkör megfelelő kialakításával ki lehet küszöbölnünk. (R=1, S=1 meg nem engedett kombináció) Az RS tároló vezérelt állapotát jelöljük Q(t 0 )-lal, a hozzátartozó kimenőjelet q(t)-vel. A kimenőjel értéke stabil állapotban megegyezik Q(t 0 ) gerjesztési függvény értékével: q(t 0 ) = Q(t 0 ) Az egyenletből megállapítható, hogy az RS tároló realizálható aktív index R=R(t) S=S(t) 0 1 2 3 4 5 6 7 0 0 0 0 1 1 1 1 0 0 1 1 0 0 1 1 Q= Q(t 0 ) 0 1 0 1 0 1 0 1 Q(τ)=Q(t 0 +∆t) A tároló állapota 0 1 1 1 0 0 ? ? Változatlan Változatlan 1-es állapotba billen 1-es állapotban marad 0-ás állapotban marad 0-ás

állapotba billen Határozatlan Határozatlan 4.3 táblázat elemet tartalmazó visszacsatolt kombinációs hálózat és késleltetés segítségével (4.13 ábra) A tároló stabil egyensúlyi állapotban (bármely vezérlés utáni egyensúlyi helyzetben): Q(t) = q(t) Amennyiben Q(t) ≠ q(t) a hálózat instabil állapotban van. Egyenlőre az RS tároló lehetséges stabil egyensúlyi állapotait vizsgáljuk, tekintsük a kimenetnek Q(t) jelet. A tároló működésének leírása több ekvivalens módon történhet. 4.13 ábra a) Igazságtáblázat. Írjuk fel az RS tároló igazságtáblázatát Az áramkör kimenőjelének logikai értékét a bemenőjelek valamilyen t 0 kezdőpontban felvett értéke: R(t 0 ); S(t 0 ) és az áramkör t 0 időpontbeli állapota Q(t 0 ) határozza meg. A t 0 időpontbeli vezérlés hatására a kimenőjel ill. a fokozat állapotát jelöljük Q(τ) = Q(t 0 +∆t)-vel Az 4.2-es, 43-as táblázaton láthatjuk az RS tároló

igazságtáblázatát - 40 b) Állapotdiagram. A tároló két stabil állapottal rendelkezik, Q= 0 ill Q= 1. A stabil állapotokat, valamint az átmenetet egyik állapotból a másikba grafikusan is á brázolhatjuk (4.14 ábra) A stabil állapotokat körök, az átmenetet körök közötti görbék ábrázolják, az átmenet irányának (nyíl) és feltételének (számok) feltüntetésével. A görbékre írt számok számlálója az R(t 0 ) S(t 0 ) vezérlési feltételt, nevezője a vezérlés utáni Q(τ) állapotot reprezentálja. Az állapotdiagramon nem tüntettük fel az RS= 11 határozatlan állapotot eredményező vezérlést. Látható, hogy az állapotdiagram a vezérlési feltételekből közvetlenül felrajzolható. Az állapotdiagramot néha átmenetdiagramnak is nevezik c) Állapot (vezérlési) táblázat. Az állapot táblázatot általában az állapotdiagram alapján rajzoljuk fel. Az állapot táblázat felső vízszintes sora az RS lehetséges vezérlési

kombinációkat, első oszlopa a Q=Q(t 0 ) vezérlés előtti állapotot tartalmazza. Az állapot táblázatba a vezérlés utáni Q(τ) állapotot írjuk be: Az állapottáblázatot kétféleképpen is f elrajzolhatjuk. A változatlan 4.14 ábra állapotok, ill. a mindenkori állapot (0 vagy 1) feltüntetésével d) Tároló logikai egyenlete vagy karakterisztikus egyenlete. A tároló működését matematikai alakban pl. az igazságtáblázatból diszjunktív normál alakban a következőképpen írhatjuk fel: Q( τ) = m1 + m 2 + m 3 = R ⋅ S ⋅ Q + R ⋅ S ⋅ Q + R ⋅ S ⋅ Q - 41 Mivel az m6 és m7 minterm nem léphet fel, a minterm táblán értékük akár 0-nak, akár 1-nek vehető. Külön gondoskodunk arról, hogy R(t 0 ) S(t 0 ) = 0 legyen, ezért ezek a mintermek az egyszerűsítés során felhasználhatók. A három változós diagram alapján (4.15 ábra) a tároló logikai egyenlete: Q( τ) = S + R ⋅ Q és R ⋅ S = 0 Mégegyszer felhívjuk a figyelmet arra,

hogy Q a 4.15 ábra Az RS tároló Veitch-Karnaugh táblázatai vezérlést megelőző, Q(τ) a vezérlés utáni állapot. Hasonlóan: Q( τ) = R + S ⋅ Q és R ⋅ S = 0 e) Tároló logikai differencia egyenlete. Szinkron működésű szekvenciális hálózatokban a k-adik ütemben, a t 0 pillanatban a tároló állapotára ill. a vezérlőjelek értékére bevezethetjük a következő jelölést: Q(t 0 )=Qk, R(t 0 )=Rk, S(t 0 )=Sk, a vezérlés utáni állapotra pedig: Q(τ)=Q(t 0 +∆t)=Qk+1 jelölést. Ezekkel a jelölésekkel a tároló logikai egyenlete: Q k +1 = S k + R k ⋅ Q k és R k ⋅ S k = 0 Mivel az egyenlet a k-adik és a (k+1)-edik ütem között teremt kapcsolatot, a matematikai differencia egyenletek analógiájára logikai differencia egyenletnek nevezzük. - 42 A második példa legyen az alábbi feladat. Egy folyóson két irányból targoncák haladnak keresztül. A targoncák érkezésének gyakorisága olyan, hogy nem teszi indokolttá a folyosót két

részre osztó ajtó /AJ/ két nyomsávra történő kiépítését, ezért egy olyan automatika megtervezése szükséges, amely garantálja a targoncák közlekedésének biztonságát. A feladatnak megfelelő elrendezési vázlatot a 4.16 ábra mutatja A targoncák érzékelését fotócellás elektronika végzi. Az érzékelés jelei: A 1 , A 2 , B 1 , B 2 4.16 ábra A J A és J B jelzőlámpák piros és zöld jelzési képeikkel a forgalom irányítását végzik. Tervezzük meg az ajtó nyitását és zárását vezérlő logikai áramkört. /A jelformálási, erősítési feladatoktól tekintsünk el!/ A megoldásban R-S tárolót /tárolókat/ használjunk. AJ+ értéke legyen "1", ha az ajtónak ki kell nyílni, illetve nyitott. AJ– értéke legyen "1", 4.17 ábra ha az ajtónak záródni kell, illetve zárt. AJ+ és AJ– egyidejűleg nem lehet "0", illetve "1". Az elrendezési vázlat, és az előbbiek figyelembevételével

felrajzolható az állapotjelző működési diagram. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 A1 A2 B1 B2 AJ+ AJ– 4.18 ábra Megállapítható, hogy időrendi áramkörrel oldható meg a feladat, mert ugyanahhoz a bemeneti eseményhez más kimeneti esemény tartozik: pl.: 3, 11 állapot; 7, 23 állapot - 43 Az egyszerűség kedvéért célszerű memóriákat rendelni a haladási irányokhoz, így M AB és M BA memóriák képezhetők. A memóriákat működtető logikai függvények ennek megfelelően: = A1 f M AB reset = B2 f M BA set = B1 f M BA reset = A2 f M AB set A memóriák "1" állapotaival kiegészített állapotjelző működési diagram a 4.19 ábrán látható 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 A1 A2 B1 B2 M AB M BA AJ+ AJ– 4.19 ábra Az AJ+ és AJ– logikai függvénye ezek után spekulatív úton

is könnyen felírható. A módszeres tervezési eljárás gyakorlására most is felrajzolható a Veitch táblázat /4.20 ábra/. A vastag vonallal írt számok a logikai táblázatból adódnak, az üresek az egyszerűsítes kedvéért az érvénytelen (közömbös) kombinációk helyére kerültek felvételre. f AJ + = M AB + M BA = M AB ⋅ M BA 4.20 ábra Mivel: f AJ + = f AJ − és f AJ − = f AJ + f AJ − = M AB ⋅ M BA = M AB + M BA /Ez a Veitch táblából is szemléletesen látszik./ A függvények megfelelő kapcsolási vázlata az 4.21 ábrán szerepel 4.21 ábra - 44 - 5. LOGIKAI FÜGGVÉNYEK ÉS HÁLÓZATOK REALIZÁLÁSA A binér digitális rendszerekben a bináris állapotokat valamilyen fizikai jellemző két értékével adjuk meg. Leggyakoribb megfeleltetés: villamos feszültség, áram, levegő túlnyomás. A megfeleltetés módjától függően pozitív, vagy negatív szintű rendszerről beszélünk. Az 51 táblázatban a különféle hozzárendelési

eseteket foglaltuk össze. Látható, hogy a pozitív és negatív szintű rendszerek egyenértékűek, a gyakorlatban a felhasználásra kerülő szerkezeti elemek határozzák meg, hogy melyik kerül alkalmazásra. Feszültségszintek Impulzusok Jelölések poz. log neg. log + + 0V + impulzus + impulzus imp. hiány 1 0 – 0V – – impulzus imp. hiány + impulzus 0 1 5.1 táblázat A "pozitív" vagy "negatív szintű rendszer megnevezés helyett a "pozitív" vagy "negatív logika" szóhasználat szokásos, amely egyben utal arra, hogy a hálózatok logikai funkciókat végeznek. Mivel binér hálózatokkal foglalkozunk, ami azt jelenti, hogy a "kettes" számrendszert használjuk - magától értetődik, hogy vizsgálatunk tárgyát csak olyan elemek képezhetik, amelyek képesek két állapotban - "igen" /1/ " nem" /0/ - megfelelő, két egymást jól megkülönböztethető diszkrét fizikai

állapotát felvenni. Az e követelményt teljesítő elemek kétfélék lehetnek: − tipikus kétállapotú elemek, amelyek fizikai működése olyan, hogy csak az átkapcsolás ideje alatt vehetnek fel a két diszkrét állapottól eltérő helyzetet. − olyan nem kétállapotú elemek, amelyek képesek kétállapotú működésre. Kétállapotú kapcsoló minden olyan eszköz, amely segéd energia felhasználásával két diszkrét állapotba hozható és egyik állapotban valamilyen energia áramlását vagy annak jelenlétét lehetővé teszi, másik állapotában ezt megakadályozza. A kapcsolóval egyszerre több energia folyamot is be folyásolhatunk. Az egyes állapotokhoz rendelt szerep felcserélhető. A kapcsolót működtető energia és a befolyásolt energia sok esetben nem azonos. - 45 - 5.1 Ideális és valóságos működés Vizsgálatainkat az ideális kapcsoló villamos megoldásával kezdjük, majd az itt tett megállapodásokat kiterjesztjük. Villamos

áramkörök kapcsolóinak általában az a feladata, hogy az áramkör zárásával a tápfeszültséget az R terhelő ellenállásra kapcsolják, illetve kikapcsoláskor az 5.1 ábra áramkört megszakítsák (5.1 ábra) A K kapcsoló "0" helyzetben az áramkört megszakítja, mivel kapcsai között ilyenkor végtelen nagy az ellenállás. Ekkor a kapcsok között U = U T tápfeszültség jelentkezik. A kapcsoló "1" helyzetében rövidzárlat létesít az A-B kapcsok között, így ott a feszültség U=0 lesz és az áramkörben a tápfeszültség, valamint a munkaellenállással meghatározott áram folyik: I 0 = UT . R Az előbbieknek megfelelően az ideális kapcsoló, karakterisztikája maga a feszültség és az áramtengely, amelyből a kapcsoló két állapotának megfelelő munkapontokat /"0", "1"/ a terhelőellenállással és a tápfeszültséggel meghatározott munkaegyenes metszi ki. /52 ábra/ 5.2 ábra Az ideális kapcsoló

érzéketlen a tápfeszültség polaritására, csak annyi a változás, hogy az áramirány is megfordul. Az ideális kapcsoló fontos jellemzője, hogy egyik állapotból a másikba végtelenül rövid idő alatt kerül. Teljesítményveszteség a kapcsoló egyik állapotában sem keletkezik. A Valóságos kapcsolónál zárt állapotban /"1"/ az A-B kapcsok között zérustól különböző átmeneti ellenállás jelentkezik, /R 2 / továbbá nyitott állapotban /"0"/ sem lesz végtelen nagy az ellenállás, hanem a szigetelési ellenállásnak megfelelő érték /R 1 /. A valóságos kapcsoló karakterisztikáját az origón átmenő 1/R 1 és 1/R 2 meredekségű egyenesek képezik. A munkapontok 54 ábrán látható 0 és 1 pontba kerülnek. A kapcsoló mindkét állapotában veszteséget okoz, - 46 - 5.3 ábra 5.4 ábrán mert zárt állapotbán maradékfeszültség /U m / nyitott állapotban maradékáram /I m / tapasztalható, amelyek az R 1 ill. R 2

ellenállásokon teljesítmény vesztességeket hoznak létre. A már elmondottakon kívül a valóságos kapcsoló abban is különbözik az ideálistól, hogy az átkapcsolás véges idő alatt zajlik le. Ennek oka a minden kapcsolónál meglévő paralel szórt kapacitáson kívül a kapcsoló fizikai működésétől függően más és más. A 5.5 ábrán egy tipikus távműködtetésű villamos kapcsoló készülék jelfogó vázlata látható. A jelfogók esetében az érintkezőket elektromágnes zárja, illetve nyitja. 5.5 ábra Ha a vasmagot /1/ körülvevő tekercsben /2/ a meghúzáshoz szükséges nagyságú áram folyik, magához húzza a horgonyt /3/ amely a szögelem mechanizmus /4/ és a mozgó fésű /5/ segítségével elmozdítja a mozgó rugókat /6/, és így azok az álló rugókkal /7/ galvanikus kapcsolatba kerülnek. Az álló fésű /8/ feladata az álló rugók helyzetének biztosítása nyugalmi /a jelfogó nem működik/ állapotában. A félvezető dióda

nem ideális kapcsoló, árama és feszültsége közötti összefüggést jó közelítéssel az alábbi egyenlet adja:  UU  I = I S  e 0 − 1   ahol: I S a dióda záróirányú teljesítési árama U 0 az un. termikus potenciál - 47 A 5.6 ábrán látható az egyenletnek megfelelő görbe szaggatott vonallal, és a dióda karakterisztikája folytonos vonallal. 5.6 ábra A kapcsoló tranzisztorokat igen széles körben alkalmazzák, pl. digitális számítógépekben, a digitális technika. egyéb területein A tranzisztor két p-n átmenettel rendelkezik, tehát két diódát tartalmaz. A két dióda négy lehetséges kivezetése közül kettő eredendően – a gyártásból következően közösítve van. Eképpen három csatlakozási pontja van: az emitter /E/, kollektor /C/, bázis /B/. A két dióda: a bázis-emitter, és a báziskollektor A tranzisztor különböző működési tartományait ezen két dióda lehetséges két állapota

határozza meg. A kapcsoló áramkörökben leggyakrabban használt a földelt emitteres kapcsolás. A tranzisztor működése során az emitter és a kollektor között hoz létre villamos összeköttetést, amely különben nem állna fenn. A működtetés abban áll, hogy a bázisra csatlakozva áramot létesítünk a bázis és emitter között /I B /. Ez az áram magával ragad újabb villamos töltéseket, amelyek a kollektor irányába áramlanak, ha a villamos áram áramlásának – kívülről adott feltételei megvannak. Az egyik feltétel az, hogy zárt kapcsolási út legyen a kollektor és emitter között, a másik pedig, hogy ez a kapcsolási út tartalmazza egy másik áramforrásnak az emittertől eltérő potenciálú csatlakozási pontját, amely a bázisárammal felszabadított s a kollektoron átfolyó villamos töltéseket magához ragadja. A kollektor áram utjába iktatott ellenállással /R C / az áram erőssége a megkívánt szinten tartható. A 5.7

ábrán a tranzisztor bemeneti ill kimeneti karakterisztikája látható. A lezárt állapotra /a 0 munkapont/ az a jellemző, hogy mind a bázis-emitter, mind a báziskollektor lezáró feszültséget kap. Így a diódákon átfolyó maradékáramok rendkívül kicsik. Ez a működési tartomány a tranzisztor lezárási tartománya. A munkaegyenes – ennek meredekségét az RC határozza meg – 0 és 1 pontja között helyezkedik el az un. aktiv tartomány Erre az jellemző, hogy a bázis-emitter diódára nyitóirányú, a báziskollektor diódára pedig záróirányú feszültség jut. Ez a tartomány a kapcsoló üzem szempontjából érdektelen, mert a tranzisztor csak az átkapcsolásoknál fellépő tranziens időszakban működik ezen belül. - 48 A vezető állapotra /1 munkapont/ az a jellemző, hogy a bázis-emmiter és a bázis-kollektor diódák nyitva vannak. A maradék feszültség igen kis érték 5.7 ábra Ez a működési tartomány a tranzisztor telítési

tartománya. A tranzisztor tehát attól függően, hogy milyen feszültséget kapcsolunk a bázisra, – kapcsoló üzemben – vagy a 0, vagy az 1 munkapontbeli állapotát veszi fel. Mint látható a tranzisztor működése jól megközelíti az ideális kapcsoló karakterisztikáját. Az integrált elemek /IC-k/ esetében nem egy kapcsolóval állunk szemben, hanem sok-sok - egy szilárd 5.8 ábra testben épített kapcsolóelem valamilyen rendszer szerint összeállított halmazával. Az integrált elemek egységeiben az un. tokokban a technikai fejlődés különféle fázisaiban más más rendszer szerint, elektrotechnikai alapelemek felhasználásával, - azok leképzésével - különféle kapcsolásokat építettek fel, amelyek több ka pcsoló együttes hatását, funkcióját produkálták. E fejlődés napjainkban is tart. A 5.8 ábrán jellegzetes integrált áramköri tokok lá thatók. Az efféle tokokban - a diszkrét működésű áramkörök a, 5.9 ábra b, -

49 esetében - különféle "logikai kapcsolások" kerülnek beépítésre. A pneumatikus kapcsolók igen sokfélék. Az 5.9 ábrán a sokféle változat közül kiragadva bemutatjuk egy körtolattyús un 4/2-es (4 csatlakozó nyílású, 2 állapotú) és egy tányérszelepes un. 3/2-es ( 3 csatlakozó nyílású, 2 á llapotú) elem szerkezeti (a) és szimbolikus (b) vázlatát. A légtartály megegyezés szerint a "P" nyílással van kacsolatba. Az "R" nyílások a szabad levegő 1 atmoszféra abszolút nyomásán vannak. Az "A" és "B" nyílások az elem kimeneti kapcsoló nyílásai. A szimbolikus rajzon a téglalapok az állapotok számát, az abba rajzolt jelek az áramlási utakat jelzik. 5.2 Függvénymegvalósítások a különféle műszaki rendszerekben Az elektromechanikus (relés) és a pneumatikus kapcsolókkal felépített logikai függvény megvalósításoknál vezessük be az alábbiakat: − munkaállapotban áram

utat biztosító kapcsoló leírása egyszerű betűjelzést, pl. A nevű kapcsoló esetén "A" (ponált) − alapállapotában áramutat biztosító kapcsoló esetében a betűjelet és fölötte vízszintes vonalat, pl. " A " (olvasd: "A" vonás, "A" negált) Hálózati elemek egymás közötti logikai kapcsolatának kifejezésére: − az összeadás műveleti jelét "+" használjuk, ha az adott elemek párhuzamos kapcsolásúak, un. vagy logikai kapcsolatban állnak (max), − a szorzás műveleti jelét "⋅" alkalmazzuk, ha az elemek soros kapcsolásúak, un. és logikai kapcsolatban állnak (min) - 50 - a, b, c, 5.11 ábra Egyszerű kapcsoló szimbólummal rajzoljuk fel az ÉS, a VAGY é s NEM logikai függvényeket (5.10 ábra) Ugyanez pneumatikus kapcsolókkal a 5.11 ábrán látható Az elektronikus függvénymegvalósítások (ahogy erről még a 6. fejezetben szólunk) először félvezetős diódákkal

történtek. Az 5.12 a, b, illetve c, d, ábrákon két különböző felépítésű diódás kaput látunk. A be- és kimeneti jeleket összevetve az ÉS és VAGY a, b, 5.12 ábra c, d, 5.10 ábra műveleteket definiáló értéktáblázatokkal, látható, hogy csak a pozitív és negatív logika választásán múlik, hogy melyik kapu végzi az ÉS, melyik a VAGY műveletet. Alkalmazzuk a következő hozzárendelést: * negatív logika: "-" = 1, "+" = 0, * pozitív logika: "-" = 0, "+" = 1. - 51 E szerint az a, b á bra pozitív logikában VAGY, negatív logikában ÉS, a c, d ábra negatív logikában VAGY, pozitív logikában ÉS műveletet realizál. A NAND és NOR műveletek diódás kapuk és inverterek összekapcsolásával realizálhatók. Az ilyen felépítésű rendszereket diódatranzisztor (DTL) vagy dióda-inverter (DIL) logikának nevezik Az 513a ábra kapcsolása pozitív logikában NAND, negatív logikában NOR

kapcsolatot realizál. A b ábrán egy negatív logikájú DTL NAND e lem látható. Az integrált áramköri technika először tranzisztor-tranzisztor logikai rendszerben (TTL) valósított meg kapcsolásokat. A TTL alapeleme NAND kapu, melyet a DTL áramkörből úgy alakítottak ki, hogy a bemeneti diódákat multiemitteres tranzisztor helyettesíti (5.14 ábra) A diódás kapu D 1 , D 2 diódáit a multiemitteres tranzisztor B-E átmenetei helyettesítik. A C-B átmenet pedíg a D 3 szinteltoló dióda szerepét tölti be. A c ábra a TTL NAND elem diszkrét a, b, 5.14 ábra c, tranzisztorokból felépített modelljét mutatja. A TTL NEM -ÉS kapu belső felépítése a 6.2 ábrán látható 5.15 ábra - 52 - a, 5.13 ábra b, Az integrált áramköri technika ujabb állomása a MOS (Metal Oxid Semiconductor), fémoxid alapú tranzisztor alkalmazása volt. A növekményes MOS tranzisztor zérus vezérlő feszültség esetén nem vezet (5.15 ábra), így nyitásához

és zárásához egyféle feszültség elegendő Emiatt a digitális áramkörökben növekményes tipusú MOS tranzisztorokat alkalmaznak. Gyártástechnológiai okok m iatt a p csatornás tranzisztorok alkalmazása terjedt el. A p csatornás növekményes MOS inverter munkaellenállásának optimális értéke kb. 50 kΩ Integrált technikával ez sokkal kisebb felületen megoldható MOS tranzisztorral. Ezért a MOS inverter ellenállását is MOS tranzisztorokból képezik ki. A MOS tranzisztort munkaellenállásként többféleképpen lehet használni. Az 516 b ábrán a vezérlő elektróda az U N -nél negatívabb U G feszültségre van kapcsolva, a c. ábrán pedíg az U N hez van kötve A két kapcsolás közül áramkörileg a b megoldás előnyösebb, de a külön tápfeszültség miatt a c. megoldás terjedt el Ezt mutatja az 5.17 ábra mindkét vezérlési állapotban 5.16 ábra 5.17ábra - 53 A növekményes MOS tranzisztorok közvetlenül csatolhatók, így a

kapuáramkörök kapcsolása igen egyszerű. NOR kaput MOS tranzisztorok párhuzamos, NAND kaput pedíg soros kapcsolásával készítenek (5.18 ábra). A sorba köthető tranzisztorok számát a kimeneti 0 szint korlátozza 5.18 ábra A p és n csatornás MOS tranzisztorokat alkalmazva kiváló tulajdonságú digitális áramkörök készíthetők. Az ilyen áramkörök szokásos elnevezése: COS/MOS, ami a komplementeres tranzisztoros felépítésre utal. Egy COS/MOS inverter látható az 5.19 ábrán mindkét vezérlési állapotban. Ha az inverter bemenetére U 0 = 0V kerül, az n csatornás T 1 lezár, a p csatornás T 2 pedíg nyit, mert vezérlő elektródája jóval negatívabb az S elektródánál. A kimenetre tehát U 1 =U P jut (a ábra) Ha az inverter bemenetére U P =U 1 van kapcsolva, akkor T 2 zár, a vezető T 1 pedíg a kimenetet földre kapcsolja (b. ábra) a, 5.19 ábra b, Az 5.20 ábrán láthatjuk az A változóval vezérelt inverter kapcsolását. A COS/MOS

NOR kapu a b ábra szerinti Munkaellenállásként a bemenetekkel azonos számú p csatornás MOS tranzisztor van sorba kapcsolva. Az n csatornás tranzisztorok párhuzamosan vannak kötve. Minden bemeneti változó e gy n és egy p csatornás tranzisztort vezérel. Ha valamely bemeneten U 1 van, a hozzá tartozó n csatornás tranzisztor vezet, a p csatornás pedíg zár. A kimeneten csak akkor lehet U 1 szint, ha az összes n csatornás tranzisztor le van zárva, illetve p csatornás vezet. - 54 - a, b, c, 5.20 ábra A NAND kapu a NOR kapu duálja: az n csatornás tranzisztorok sorba a p c satornás tranzisztorok pedíg párhuzamosan vannak kapcsolva (c. ábra) Amennyiben legalább egy bemeneten U 0 szint van, akkor a hozzátartozó n csatornás tranzisztor zárt, p csatornás pedíg nyitott állapotban van. Utóbbi a kimenetre U P -t juttat Ha valamennyi bemeneten U 1 van, az összes p csatornás tranzisztor lezár, s a vezető n csatornás tranzisztorok a kimenetet földre

kapcsolják. - 55 - 5.3 Kódoló és dekódoló A kódátalakító hálózatok lényegében több bemeneti és kimeneti ponttal rendelkező kombinációs hálózatok. A bemeneti szókészlet többnyire 4 bites bináris vagy valamely 4 bite s súlyozott és ugyancsak bináris kódolású decimális kódkészlet. Az 1.7 táblázatban különféle kódokat mutattunk be Ebből példaként egy olyan kódoló áramkör látható az 5.21 ábrán amely BCD-kódú N A számot GRAY-kódú N G számba, illetve a STIBITZ-kódú N S számot a módosított GRAY-kódú N M számba viszik át. Figyeljük meg, mindkét esetben a legmagasabb helyiértékek megegyeznek, a további helyértékekre pedig antivalencia kapcsolat érvényes: (i= 2, 1, 0). G 3 = A 3 ; G i = A i +1 ⊕ A i ; M 3 = S 3 ; M i = S i +1 ⊕ S i A fordított irányú átalakítást dekódolásnal hívjuk. Példaként az 522 5.21 ábra BCD-GRAY dekódoló 5.22 ábra Bináris-oktális delódoló ábra a bináris-oktális

dekódolót ábrázolja. 5.4 Jelváltó áramkörök Gyakran van szükség a különböző változók logikai állapotának egymás után való lekérdezésére vagy egy információnak különböző címekre való eljuttatására. Az első feladatot a multiplexer, a másodikat a demultiplexer oldja meg. Multiplexernek, adatszelektoroknak nevezik azokat az áramköröket, amelyek több bemeneti jelből, a címző vezetékre adott jelkombináció által meghatározott vonalat kapcsolják a kimenetre. Az SN74150N multiplexer az ABCD címzővezetéken lévő jelkombinációtól függően valamelyik /E/ 015 adatvonalról akkor jut a /W/ kimenetre az invertált bemeneti jel, ha az S edélyező bemeneten 0 jelszint van. - 56 A címzés gyakran valamilyen számláló tokkal történik, míg az engedélyezés dekoder, ill. demultiplexer áramkörökkel Hasonló a logikai felépítése az SN74151N-nek, amely /E/ 0-7 adatbemenettel ennek megfelelően 3 címbemenettel rendelkezik, de

kimenetén W is hozzáférhető. Több elem összekapcsolása esetén nagyobb számú 5.23 ábra adatvonalak kiválasztása lehetséges (5.23 ábra). Az adatelosztó demultiplexer működését négy változóra (két bit c ímzéssel) mutatjuk be (5.24 ábra) A C 1 C 0 bináris szám értékének megfelelően az I adatbemenetet rendre az O 0 , O 1 , O 2 , O 3 kimenetek egyikével köti össze a demultiplexer. Logikai függvényei: O 0 = C1 ⋅ C 0 ⋅ I , O 1 = C1 ⋅ C 0 ⋅ I , O 2 = C1 ⋅ C 0 ⋅ I , O 3 = C1 ⋅ C 0 ⋅ I 5.24 ábra 5.5 Arritmetikai áramkörök Az aritmetikai áramköröket a digitális számítás-, a digitális irányítás, és méréstechnikában alkalmazzuk. Alapegysége a félösszeadó megismeréséhez tekintsük igazságtáblázatnak az 1.4 táblázaton látható kétváltozós táblázatot Felismerhető az ÉS, valamint az antivalencia kapcsolat (1.4 táblázat): Ck = AkBk, Sk = Ak ⊕ Bk. (A k index egy szám k-adik bit-jére utal.) A félösszeadó,

amely az összeadásnak csak egyik felét oldja meg, mert az átvitelt nem veszi 5.25 ábra tekintetbe a 5.25 ábra szerint építhető fel A félösszeadót az integrált áramkörökben - 57 NOR, ill. NAND kapukkal szokták megvalósítani Az 14 táblázatból kitűnik, hogy S k = (A k + B k )C k = A k C k + B k C k alakban is felírható. Kettős tagadással a maxterm alakból S k = (A k + B k )C k = A k + B k + C k míg a minterm alakból 5.26 ábra A félösszeadó megvalósítása NOR és NAND kapukkal S k = A k C k + Bk C k = A k C k ⋅ Bk C k Így a 5.26 ábrán, látható megoldásokhoz jutunk A teljes összeadó az előző bit-ről jelentkező C k-1 átvitelt is figyelembe veszi (5.2 táblázat) A teljes összeadó igazságtáblázata Ak Bk C k-1 Sk Ck 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 0 0 1 1 1 1 0 1 1 0 1 0 0 1 0 0 0 1 0 1 1 1 5.2 táblázat Az igazságtáblázat alapján belátható, hogy 5.27 ábra Teljes összeadó felépítése két

félösszeadóból S k = A k B k C k-1 + (A k + B k + C k-1 ) C k , ahol: C k = A k B k + A k C k-1 + B k C k-1 . A teljes összeadó tehát felépíthető két félösszeadóból (5.27 ábra) - 58 C ′k = A k B k , és S ′k = A k B k + A k B k , C ′′k = S ′k C k −1 , C k = C ′k + C ′′k . 5.28 ábra Párhuzamos összeadó négy bit-re Egy párhuzamos négy bit-es összeadó vázlatát a 5.28 ábra mutatja Ez a megoldás kiterjeszthető több bit-re is. 5.6 Tároló áramkörök A digitális technikában szükség van az információk rövidebb hosszabb idejű tárolására. Erre a célra szekvenciális hálózatok alkalmazhatók. Ilyen elemi szekvenciális áramkör a bistabil multivibrátor (tároló elem) amelyet szokás flip-flop-nak is nevezni. A szekvenciális hálózatoknál már bemutattuk a legfontosabb tárolóelemet az R-S tárolót. Az R-S tároló felépítését a 5.29 ábra szemlélteti. Ha S=1 és R=0, akkor az A VAGY kapu 5.29 ábra Az R-S Q

kimenetén 1 j el keletkezik. Ez a B VAGY kapu tároló felépítése inverz bemenetére csatolódik vissza, így az implikáció következtében B kapu Q kimenetén 0 ke letkezik. Ez viszont visszacsatolódik az A kapu inverz bemenetére, ahol 1-re változik. (A csillag az előző állapotra utal) Az A kapu Q kimenetén nem következik be változás, hiszen már 1 állapotban volt. Így két zárt áramkör keletkezik: az egyikben állandóan az 1 állapot, a másikban állandóan a 0 á llapot marad fenn. A Q= 1, Q = 0 állapot tehát még akkor is m egmarad, ha a beíró bemeneten S= 1 megszűnik, feltéve, hogy R=0. Ha 1 jel érkezik az R törlő bemenetre, míg S= 0, akkor a B kapu egyik bemenete 1, ezért Q =1 lesz. Ez az 1 jel az A kapu inverz bemenetén 0-vá válik. Ezért Q= 0. A B kapu inverterén ez 1-re változik. Megint zárt áramkörök keletkeznek, de most fordított jelekkel. 5.30 ábra Az inverz bemenetű R-S tároló felépítése NAND kapukkal - 59 Az inverz

bemenetű R-S tároló DE MORGAN tétele alapján NEM-ÉS (NAND) kapukból építhető fel (5.30 ábra) 5.31 ábra Statikus vezérlésű R-S tároló felépítése NAND kapukkal 5.32 ábra A D tároló felépítése és működése A digitális technikában sokszor előnyös, ha a tárolót a bemeneteire adott megfelelő jelekkel először előkészítjük, majd magát az állapotváltozást egy harmadik bemenetre adott órajel segítségével váltjuk ki. Az órajel angol CLOCK szavából származik a harmadik bemenet C jelölése. Az ilyen tárolót statikus vezérlésű szinkron tárolónak nevezzük (5.31 ábra) A statikus kapuzású R-S tároló bizonytalan és ezért meg nem engedett állapotai biztosan elkerülhetők, ha csak egy, az ún. D bemenetre adunk jelet, a másik bemenetre pedig egy negátoron (inverteren) keresztül vezetjük a jelet. A D tároló felépítését az 532 ábra mutatja A tárolók csoportjának leghasznosabb tagja a J-K tároló előnye az R-S

tárolóval szemben, hogy nincsenek kétes állapotai. Ezért a leggyakrabban J-K tárolókat használnak. A J-K tárolónak két bemenete és két kimenete van. Beírásakor J=1, K=0, és ekkor Q=1 ( Q = 0 ) áll elő, míg törléskor K=1, J=0, és ekkor Q=0 ( Q = 1 ) következik be. Ha mindkét bemenet J= K= 1, akkor a kimenetek átbillennek. A J-K tároló igazságtáblázatát 53 táblázat mutatja, ennek alapján felírható: Q = J ⋅ Q* + K ⋅ Q - 60 - 5.33 ábra A J-K-T tároló felépítésé és működése J A J-K tároló igazságtáblázata K Q Q 5.34 ábra A mester-szolga tároló elvi felépítésének vázlata 0 1 0 1 0 0 1 1 Q* 1 0 Q * Q * 0 1 Q* 5.3 táblázat Gyakran a J-K tárolónak több, J 1 , J 2 , ., K 1 , K 2 , (általában ÉS-be kapcsolt) bemenete is va n. Ilyenkor egy-egy J é s K bemenetet összekapcsolva T bemeneteket használunk (5.33 ábra) Az előzőekben bemutatott többszörös billenés valamint a bizonytalan versenyhelyzetek

biztosan elkerülhetők egy különleges tárolóval, az ún. mester-szolga (MASTER - SLAVE) tárolóval Ennek elvi felépítése látható az 5.34 ábrán - 61 A mester-szolga R-S tároló felépítése a 5.35 ábrán látható Ha T=0 nem következhet be beírás vagy törlés a mester tárolóba, de éppen ekkor veszi át a szolga tároló a mester tárolótól a beírást vagy törlést. Például ha Q M =1, akkor T=0 hatására S S =1 és Q=1 lesz. Másrészt, ha Q M = 1 , akkor T=0 hatására R S =1 és Q=0 ( Q = 1 ) lesz. Amikor T= 1, a szolga tároló lezárt és nem változtathatja meg állapotát. A mester tárolóba viszont épp ekkor lehet beírni Nyilvánvaló, hogy a Q és Q kimeneten a T jel 10 átmenetekor következik be változás, vagyis a kimenet T lefutó élének hatására látszik átbillenni. A mesterszolga tárolóban tehát egy késleltetés jelentkezik, ez a késleltetés azonban nem rögzített időtartamú, hanem éppen a T=1 négyszöghullám

tartamával egyenlő. Éppen ez a mester-szolga tároló legfőbb jellegzetessége, és ez az előny, elterjedését nagymértékben elősegítette. Megfelelően visszacsatolva a Q és a Q kimenetet, a mester-szolga J-K tároló áll elő (5.36 ábra) A J és a K értékének megfelelően T=1 hatására a mester tárolóba, majd T=0 hatására a szolga tárolóba íródik be az állapot, majd megjelenik a kimeneten. 5.35 ábra Mester-szolga R-S tároló 5.36 ábra Mester-szolga J-K tároló A félvezető tárak közül az információ "hosszú tárolási idejű" változata a közvetlen hozzáférésű írható olvasható tárak (RAM). Tömbvázlata a 5.37 ábrán látható A tár központi része a tármátrix A mátrix 2n sorból (szóirány) és 2 m olopból (bitirány) áll. Valamelyik tárcella kijelölésekor a hozzá tartozó sor- és oszlopvezetéken 0 vagy 1 szintű logikai jel jelenik meg. A csatlakozó vezetékek számának csökkentése érdekében a szó- és

bitvezetékek kijelölésére dekódoló - áramkörök vannak a tárba beépítve. A dekódolók előtti meghajtó áramkörök - 62 - 5.37 ábra gondoskodnak a meghajtáshoz szükséges helyes jelszintekről és a megfelelő terhelési viszonyokról. A megcímzett tárcellához tartozó író/olvasó erősítő az olvasójel felerősítésén kívül összekapcsolja a tárcellát az adatbemenettel és az adatkimenettel. A vezérlőáramkör feladata, hogy a tár kimeneteit a szükséges üzemmódnak (írás vagy olvasás) megfelelően nyissa, ill. zárja A Chip Select (CS), a Chip Enable (CE) vagy a Memory Enable (ME) bemeneti csatlakozásokkal az egész tár letiltható. Ezek több táráramkörből álló tárrendszer esetén lehetővé teszik az egyes táráramkörök kiválasztását. A bipoláris RAM-ban az adatokat többemitteres tranzisztorral megvalósított tár tárolja. Az elemi tárcellákban tárolt adatok az x és y vezetékek aktiválásával olvashatók ki. Az

adatok beírása az olvasóvezetéken keresztűl történik. A kiolvasás során a tárból az információ nem vész el. Dinamikus RAM-ok esetében jelfrissítő áramkörre is szükség van, hogy a töltés formájában tárolt információ ne vesszen el. A fix programozású tárak (ROM) felépítését és működését egy egyszerűsített tömbvázlat alapján mutatjuk be (5.38 ábra) - 63 A tár központi része – a RAM-okhoz hasonlóan – a tármátrix. A tárcellák felépítése azonban lényegesen egyszerűbb, mivel a beírt adatok a működés során nem változnak meg. Ennek megfelelően a szó- és bitvezetékek közötti csatolóelemek ellenállások, diódák, bipoláris és MOS tranzisztorok is lehetnek. Az egyszer programozható fix tárakat két csoportra osztjuk. Az egyik csoportot a gyártó által maszkokkal programozható fix tárak képezik (ROM), a másik csoportba pedig a felhasználók által programozható fix tárak tartoznak (PROM). A programozási

folyamat mindkét tártípusnál irreverzíbilis változásokat hoz létre az áramkörökben. A legegyszerűbb tármátrix a diódás mátrix (5.39 ábra) A címdekódolón keresztül vezérlőjelet adva a W 0 .Wn szóvezetékek egyikére, azokon a diódákon, amelyek valamelyik B 0 . B n bitvezetékkel össze vannak kötve, áram fog folyni. Ez az áram – a tármátrix ellenállásain átfolyva – feszültségesést hoz létre, ami H (1) szintként jelentkezik a kimeneten. Ha a bitvezetékek és a diódák között nincs összeköttetés, akkor nem tud 5.39 ábra Diódás tármátrix áram folyni, és így a kimeneten logikai L kapcsolása (0) szint jelenik meg. A tárolandó adatokat "huzalozással" lehet a ROM-ba bevinni. Ez a művelet az előállítási folyamat egyik utolsó lépéseként a fémezőmaszkkal történik. A ROM-okban alkalmazott tármátrixok leggyakrabban használatos formája a tranzisztoros mátrix. Ennél a tármátrix megoldásnál 5.38 ábra A

fix tárak egyszerűsített tömbvázlata - 64 - 5.40 ábra Bipoláris tranzisztoros tármátrix felépítése 5.41 ábra MOS tranzisztoros tármátrix elvi felépítése a diódák helyett bipoláris tranzisztorokat (5.40 ábra) vagy MOS tranzisztorokat (5.41 ábra) alkalmaznak A tranzisztor erősítése következtében a tranzisztoros mátrix meghajtó teljesítménye sokkal kisebb a diódás tármátrixénál. Az emitterek oszloponként közösítve vannak és ugyancsak oszloponként közös munkaellenállásra csatlakoznak. A MOS tranzisztoros tármátrixoknál a maszkolt programozás a MOS tranzisztor csatornáját a gate-től elválasztó szigetelőréteg vastagságának a változtatásával történik. A tranzisztor állapotának a lekérdezése a W i , szóvezetékre adott olvasófeszültség segítségével érhető el. Vastag szigetelőréteg és ezáltal nagyobb küszöbfeszültség esetén a tranzisztor az olvasófeszültség jelenléte ellenére lezár, és a

kimeneten változatlanul a nagyobbik feszültségérték jelenik meg. Vékony szigetelőréteg esetén a tranzisztor kinyit és a kimeneti feszültség értéke csökken. A T terhelőtranzisztorok az ellenállásokat pótolják. A ROM-okkal ellentétben a programozható fix táraknak megvan az az előnyük, hogy a tárolandó információ beírását megfelelő programozókészülék segítségével maga a felhasználó végezheti el. A programozás folyamata irreverzibilis. Az egyszer beírt program csak abban az értelemben változtatható meg, hogy a még fel nem használt tárcellák típustól függően H (1) vagy L (0) szintre programozhatók be. A ROM-okhoz hasonlóan a PROM-ok tármátrixai is tá rcellánként egy programozóelemet tartalmaznak. Ezt a programozóelemet a felhasználó elektromos úton meghatározott impulzusokkal át tudja égetni. A programozóelem (biztosíték) a tárcella és a bitvezeték között helyezkedik el (5.42 ábra) 5.42 ábra Programozható

diódás csatolóelem - 65 Az eddig ismertetett programozási eljárások egyetlen alkalommal teszik lehetővé az adott táráramkör programozását. Ha a programot módosítani kell, új áramkörre van szükség. A többszörösen újraprogramozható fix tárak (REPROM, reprogrammable ROM) fő előnye az, hogy a kezdeti állapotot a felhasználó vissza tudja állítani. Ezt a folyamatot nevezik törlésnek (erase). Az információ törlése UV fény, röntgensugárzás (EPROM), az egész tár tartalmát törlő elektromos impulzusok (EEROM) vagy pedig szelektív módon csak egyetlen értéket törlő elektromos impulzusok (EAROM) hatására következik be. Ilyen áramkörök megvalósítására csak az olyan MOS tranzisztorok alkalmasak, amelyeknek nyitott vagy lezárt állapotát a töltéseloszlástól függően beállított küszöbfeszültség határozza meg. A tárcellák programozása feszültségimpulzusokkal történik, amelyek a beírt információt a tápfeszültség

lekapcsolása esetén is több é vig képesek megőrizni. Az információ megtartásának minimálisan garantált ideje 10 év A programozható logikai áramkörök az integrált táráramkörökkel rokon fix tárak. A tárolandó információ beprogramozását vagy a gyártás során végzik el maszkok segítségével, vagy pedig erre alkalmas programozókészülék segítségével maga a felhasználó hajtja végre. A gyártás során maszkokkal programozható típus P LA (Programmable Logic Array), az elektromosan programozható típus pedig FPLA (Field Programmable Logic Array) néven ismeretes. A programozható logikai áramkörök olyan logikai függvényeket valósítanak meg, amelyeket korábban csak bonyolult kombinációs áramkörökkel lehetett előállítani. A logikai függvényeket az igazságtáblázatok tartalmazzák. Ezekből olvasható ki a bemeneti és kimeneti változók összerendelése. A realizálásnál a kapcsolási algebra szabályait alkalmazzák. 5.7

Léptető regiszterek Amint a 1.3 fejezetben láttuk, a szorzás és az osztás végrehajtásához a bináris számokat egy-egy helyértékkel balra, illetve jobbra kell eltolni. Ezt a feladatot a léptető regiszter (SHIFT REGISTER) oldja meg. - 66 A tárolókat megfelelő logikai kapukkal kiegészítve irányváltó (jobbra vagy balra) léptető regiszter (REVERSIBLE SHIFT REGISTER) építhető fel (5.43 ábra) Az irányváltó bemenetre 1 jelet adva az alsó, 0 jelet adva a felső ÉS kapuk készíthetők elő, míg a másik ÉS kapu lezár. Első esetben balra léptető, a második esetben jobbra léptető regiszter 5.43 ábra Irányváltó léptető soros regiszter keletkezik. Például ha egy ilyen regiszterben 0110 2 =6 10 helyezkedik el, akkor jobbra léptetéssel 0011 2 = 3 10 , míg balra léptetéssel 1100 2 =12 10 keletkezik, ez 2 10 -vel való osztásnak, ill. szorzásnak felel meg Ez a példa rámutat a léptető regiszterek jelentőségére a digitális

rendszerekben. Amint a 1.4 fejezetben láttuk, az adatok soros és párhuzamos kóddal is kifejezhetők. Ezért elvileg négyfajta léptető regiszter képzelhető el: 1 soros bemenetű, soros kimenetű; 2. soros bemenetű, párhuzamos kimenetű; 3. párhuzamos bemenetű, soros kimenetű; 4 párhuzamos bemenetű, párhuzamos kimenetű. 5.8 Számlálók áramkörök A bináris számláló (BINARY COUNTER) fontos szerepet tölt be a digitális technika különböző részterületein. Vannak előre-, illetve visszaszámlálók és irányváltó számlálók. A működésmód lehet aszinkron és szinkron. Gyakran a kezdeti adatot is be kell írni Ha tárolókat sorbakapcsolunk bináris előreszámláló keletkezik (FORWARD COUNTER). Három tároló sorbakapcsolásával 8 im pulzus számlálható meg. Az ilyen számlálót oktális számlálónak nevezzük A számláló aszinkron vagy szinkron működhet. Az aszinkron előreszámlálóban az egyes tárolók kimenete a következő tároló

T bemenetére kapcsolódik. A 544 ábra egy háromhelyértékes számlálót mutat. Tételezzük fel, hogy mindhárom Q kimenet, vagyis A, B, C kezdetben 0 állapotú (pl. egy R-re adott impulzus következtében). Amikor az első négyszög alakú impulzus 1-ből 0-ba vált - 67 át, a A kimenet 1 á llapotba kerül. A számláló párhuzamos kimenetein 001 2 =1 10 jelenik meg. 5.44 ábra Aszinkron bináris előreszámláló 5.45 ábra A második impulzus végén az első tároló ismét átvált, A=0 lesz, és így az 10 átmenet hatására a második tároló kimenete 1 állapotba kerül, B= 1 lesz. A kimeneten most 010 2 =2 10 áll elő A harmadik impulzus hatására csupán A vált át. A negyedik impulzus után 100 2 =4 10 keletkezik A számlálás így folytatódik, míg a kimeneten 111 2 =9 10 áll elő. A nyolcadik impulzus 000 2 állapotba hozza a számlálót, és egy esetleges következő tároló számára egy átvitelt (CARRY-t) ad egy lefutó él formájában. Ha

szinkron számlálót használunk, akkor elkerüljük a soros számlálóknál tapasztalt terjedési idő növekedését, mivel ezekben a számlálókban valamennyi flip-flop állapota a billenési feltételektől függően az órajel hatására egyszerre változik. Késleltetési idő csak a flip-flopon és a vezérlő kapun keletkezik, J-K flip-flopokból felépített előre számláló kapcsolást láthatjuk a következő 5.45 ábrán - 68 Az SN74190N vezérelhető reverzibilis BCD, valamint SN74191N vezérelhető reverzibilis bináris számlálók A-D párhuzamos adat-beállítási lehetőséggel is rendelkeznek. A számlálási irányt az Up/Down /előre-hátra/ vezérlőmenetre adott jel határozza meg. Az U/D= H esetén a számláló visszafelé, az U/D=0 esetén előre számlál. Az EN /eneble/ engedélyező bemenetre adott "H" szint esetén a számláló leáll, EN= 0 esetén engedélyezve van. A párhuzamos beíróbemenetet megváltoztathatjuk, ha a L /load/

betöltés = 0. A számláló négy MS flip-flopból áll, amely 0-1 átmenetére változtatja meg állapotát. A max/min kimeneten csak akkor jelenik meg a H szint ha a számláló előreszámlálás közben 9 ill. 15, hátraszámlálás esetén pedig 0 állapotban van. Az RC /Ripple Count/ terjedő számlálás kimenet akkor kerül 0 szintre, amikor a max/min. kimeneten H szint van és a számlálás bemenet pedig 0 szintet kap. Kapcsolásuk háromféle képpen lehetséges, ebből különösen a párhuzamos átvitelű, szinkron üzemű előre-hátra számláló érdekes. (546 ábra). 5.46 ábra Szinkron előre-hátra számláló Működési feltételek a 5.4 táblázatban láthatók Előre/hátra Up/Down Engedélyezés Enable Betöltés Load X X 0 X 1 1 0 0 1 1 0 1 5.4 táblázat Üzemmód Párhuzamos Load /betöltés/ Nincs változás Count Up Számlálás előre Count Down Számlálás hátra - 69 - 6. LOGIKAI ÁRAMKÖRCSALÁDOK A 6. fejezetben csak integrált

áramkörökkel foglalkozunk, mert napjainkban más jellegű elektronikus megvalósításokkal már nem találkozunk. A logikai hálózatok elektronikus megvalósításának története szorosan összekapcsolódik a számítástechnika fejlődésével. Az elektroncsöves számítógépek után, a tranzisztorok elterjedését követően rövidesen megjelentek a félvezetőkből épített számítógépek. A tervező ezeket a berendezéseket úgy tervezte meg, hogy minden áramköri feladatot egészen az alkotóelemek, a tranzisztorok, diódák, ellenállások szintjére le kellett bontania. A továbbiakban elsősorban a miniatürizálás és a tervezési munka hatékonyságának fokozása érdekében dolgozták ki a digitális alapáramköröket tartalmazó elemrendszereket. Az áramköri feladatot a rendelkezésre álló logikai elemekig kellett csak lebontani, s a készüléképítés abból állt, hogy a megfelelő elemeket egymás mellé kellett szerelni és közöttük a

szükséges kapcsolatokat ki ke llett alakítani. Tulajdonképpen ma a digitális integrált áramkörök világában is e z a helyzet, az IC-k egymás mellé szerelése és összekötése a nyomtatott huzalozású panellel valósul meg. A 60-as évek közepén Magyarországon is készültek logikai egységek, az EMG logikai kártyái igen népszerűek voltak. A kártyákat szabványos rack fiókokba szerelt csatlakozókba dugták be, a csatlakozók kivezetéseit kellett a feladatnak megfelelően összehuzalozni. Egy-egy kártyán pl. 6 8 logikai kapu, inverter, 1 2 tá roló, egy négybites aszinkron számláló fért el. A következő lépés a logikai kocka volt A kisméretű műanyag kocka belsejében alul és felül egy-egy nyomtatott huzalozású panel volt, s a két panel közé szerelték az alkatrészeket. Az egyik panelre kb. 3 cm hosszú kivezetéseket is forrasztottak, ezek voltak a kocka "lábai". Egy kockában pl egy logikai kapu, egy inverter, egy tároló

fért el. A kockákat nyomtatott huzalozású panelre ültették be, úgy mint ma az IC-ket. Magyarországon a TERTA digitális kockáit használták digitális berendezések építésére. Az EMG logikai kártyákra és a TERTA kockákba germánium félvezetőket szereltek, a tranzisztorok mind bipolárisak voltak, pnp jellegűek. A tokozott, készre szerelt tranzisztorokból, diódákból, ellenállásokból (azaz a diszkrét alkatrészekből) a kockáknál jelentősen kisebb logikai alapegységeket már nem lehetett előállítani. A 60-as évek közepétől azonban egy új miniatürizálási lehetőség tűnt fel. Az egyenként kivitelezett, tokozott alkotóelemek helyett egyetlen kis szilícium lemezen - 70 (a chip-en) egymás közvetlen közelében alakították ki az áramkörhöz szükséges alkatrészeket, majd az összekötéseket a chip felületére felvitt alumínium összekötő vezetékekkel hozták létre (a gyártás utolsó lépése ez a fémezés). A chip

kivezetésekkel ellátott műanyag tokba került Az így kialakított integrált áramkörök közül az elsők analóg jelek kezelésére voltak alkalmasak - műveleti erősítők, komparátorok. A 60-as évek végére azonban már a digitális integrált áramkörök is e lkészültek. A chip alapanyaga továbbra is a szilícium volt, ezek az áramkörök ezért szilícium diódákat, tranzisztorokat tartalmaztak, mégpedig npn típusú bipoláris tranzisztorokat. A mikroelektronika, a digitális integrált áramkörök máig is ta rtó szédítő iramú fejlődése akkor kezdődött meg, amikor a 60-as évek legvégén a Texas Instruments megjelent a 74-es sorozatú bipoláris TTL digitális integrált áramköri sorozatával a félvezető piacon. Röviddel később az RCA cég egy CMOS technológiával készített új sorozattal jelentkezett, a CD 4000-es sorozattal. A TTL és CMOS logikai áramkörcsaládok egyes tagjai legfeljebb néhány 100 tranzisztor funkciót tartalmaznak,

egy IC egy logikai alapelem vagy logikai alapegység. Az alapelemeket (kapukat, invertereket, tárolókat stb.) tartalmazó IC-ket SSI (Small Scale Integration, kismértékben integrált) áramköröknek nevezzük, a logikai egységeket (számlálókat, dekódereket, multiplexereket stb.) tartalmazókat MSI (Medium Scale Integration, közepes mértékben integrált) áramköröknek hívjuk. A TTL és CMOS logikai áramkör családok tehát SSI-MSI áramkörök. A TTL logikai áramkörcsaládok egységes logikai jeltartománnyal működnek, bármely TTL áramkör kimenő jelét bármely másik TTL áramkör bemenete közvetlenül, hibátlanul képes feldolgozni. A katalógusokból az áramkörök terhelhetőségét ki lehet olvasni. Amíg egy kimenetet nem terhelnek túl, a kimenő jeleket a fogadó áramkör mindig helyesen fogja értelmezni. A TTL jeltartományok, jelszintek ipari szabvánnyá váltak, sokszor a más félvezető technológiával készült áramköröket is úgy

készítik el, hogy a jelszintjei TTL jellegűek legyenek (TTL kompatíbilis áramkörök). Ugyanígy a többi áramkörcsalád is önmagán belül problémamentesen összekapcsolható elemeket tartalmaz. 6.1 A TTL és CMOS jellegű logikai szintek A digitális integrált áramköröknél a logikai szinteknek egy-egy pontosan definiált, meglehetősen széles tartomány felel meg. A jól megtervezett és megépített egységekben a tényleges jelek mindig a - 71 definiált tartományokon belüliek, így a berendezés helyesen működik. A TTL logikai elemcsalád elnevezése a belső kapcsolás technikájára utal (Transistor-Transistor Logic) - az IC-n belül a tranzisztorok közvetlen galvanikus kapcsolatban vannak, nincs semmilyen illesztőelem közöttük. Mint már tudjuk, a TTL áramkörök szilícium npn bipoláris tranzisztorokkal építkeznek. A korábbi áramkörök tápfeszültsége +5V +/5%, az újabb elemeknél a megengedett eltérés +/- 10% Az IC-k a +5V-ot a VCC

jelű lábon fogadják, a 0V-ot a GND jelű lábra kell kapcsolni. Ekkor az IC tápfeszültség alá kerül, üzemkész. Ezen a 0V +5V tartományon belül jelölte ki a Texas a logikai értékekhez tartozó tartományokat, mégpedig meglehetősen aszimmetrikusan. Az egyik tartomány a 0V-nál kezdődik, ez az L (Low, alacsony) tartomány; a másik a +5V-ig tart, ez a H (High, magas) tartomány. A digitális integrált áramkörökkel dolgozva gyakrabban használjuk az L és H megnevezéseket, mint a logikai 0-t illetve 1-t. Az áramkörök többségénél a logikai 0-nak az L szint felel meg a logikai 1-nek pedig a H (aktív magas szintű működés), de esetenként fordítva is lehet (aktív alacsony működés). Ha az L illetve H szintek megnevezését használjuk, nem lehet félreértés. A szabványos TTL jelszinteket a 6.1 ábra mutatja be Szerepel az ábrán a kimeneti és a bemeneti H és L tartomány s a közöttük lévő ún. tiltott tartomány is (ezt bekarikázott T

jelöli). Kimeneten: Bemeneten: 5V H 4V H 3V 2,4V 2V T 0,4V L T 1V 2V 0,8V L 0V 6.1 ábra A szabványos TTL jelszintek A kimeneti jeltartomány előállítása a TTL IC kimeneti fokozata feladata. Ha terheletlen az áramkör kimenete, az L szint 0,4 0,5 V, a H szint 3,5 . 3,8 V Ha erősen terheljük a kimenetet, az L szint megemelkedik, a H szint lecsökken, de ha a katalógusban megengedett terhelési mértéket nem lépjük túl, az ábrán megadott tartományból nem fog kilépni a kimenő jel - az IC nem ad ki tiltott tartományba eső jelet. A - 72 bemeneti tartományok azt jelzik, hogyan értékeli a TTL elem a bemenetére kerülő feszültséget. Ha a tiltott tartományba eső jel kerül a bemenetre, a gyártó nem ad garanciát arra, hogyan fog viselkedni az áramkör. A 6.1 ábrán látható, hogy a kimeneten a megengedett jeltartomány szűkebb, mint a bemeneten az elfogadható tartomány. Így pl egy TTL kimeneten a legrosszabb H szint 2,4 V, a bemenet

azonban már 2,0 V felett H szintet érzékel. Ez teszi lehetővé, hogy akkor is helyesen értékelje a fogadó áramkör a kiküldött jelet, ha arra kis értékű zavar kerül. A TTL alapáramköröknél a zavarvédettség mértéke 0,4 V. A korszerűbb LS áramkörök a H szintnél 0,7 V zavarvédettséget biztosítanak, mivel a kimenetükön a garantált legkisebb H szint 2,7 V. A logikai áramkörcsalád tagjai a logikai funkciójuk mellett sajátos bemeneti és kimeneti tulajdonságokkal is rendelkezhetnek. Példaként vizsgáljuk meg a 6.2 ábrán egy NEM-ÉS kapu belső felépítését (a 7400 IC egyik kapuja). VCC (+5V) A T1 T2 B D1 A B T4 D2 D3 & Q Q T3 GND (0V) 6.2 ábra Kétbemenetű TTL NEM-ÉS kapu Fontos megértenünk, hogy a digitális IC ugyanúgy működik, mintha diszkrét alkatrészekből építenénk meg a kapcsolás szerinti áramkört! Itt a legelső elem okozna problémát egy ilyen utánépítéskor, mert a Texas által szabadalmaztatott

többemitteres tranzisztort diszkrét alkatrészként nem lehet beszerezni. Ha a T1 tranzisztornál csak egyetlen emittert képeznek ki, az inverter kapcsolásához jutunk. Ha pedig kettőnél is több bemenetű NAND kapura van szükség, csak az első tranzisztornál kell még több emittert létrehozni. A T3, T4 tranzisztorokból álló ellenütemű végfokozatot is szabványosította a Texas, ez a Totem Pole (TP) kimenő fokozat 16 mA terhelést visel el. A D1 és D2 a bemenetet védik az esetleges negatív túlfeszültség ellen. Ha a bemenet nincs bekötve vagy H szinten van, a T1 tranzisztor inverz működésű, kinyitja T2-t, ami telítésbe viszi T3-at és lezárja T4-et. A kimeneten így L szint jelenik meg. Ha legalább az egyik bemenet L szintre - 73 kerül, a T1 normál tranzisztor működést produkál, telítésbe kerül, lezárja T2-t, ami lezárja T3-at s telítésbe viszi T4-et - a kimeneten tehát kialakul a H szint. Ha egyetlen emittere van a T1-nek, láthatóan

megvalósul az inverter működés, az áramkör negálja a bemenő jelet (ha nem lenne világos a működés, helyettesíthetjük a gondolatkísérletben az L szintet 0-val, a H szintet 1-gyel). Ha a 62 ábrának megfelelően két bemenet van, s felírjuk az igazságtáblázatot - láthatóvá válik, hogy valóban NEM-ÉS kapuként dolgozik az áramkör. A kétbemenetű kapu működése alapján az igazságtáblázat a következő: A L L H H B L H L H Q H H H L Az igazságtáblázatot kiértékelve látható, hogy valóban a kétváltozós NAND függvényt valósítja meg. A TTL áramkör család alapelemei a negációt megvalósító inverter és a különböző bemenetszámú NAND kapuk. A NAND kapu univerzális logikai kapu, ami (mint tudjuk) azt jelenti, hogy bármely logikai függvény megvalósítható úgy, hogy csak NEM-ÉS kapukat használunk fel a hálózat építésekor. Ez a tulajdonsága magyarázza meg, hogy a TTL sorozatban a kapuk többsége NEM-ÉS kapu. Most

ismét tegyük fel, hogy egy emitteres a T1, s vizsgáljuk meg, hogy az így létrejövő inverter hogyan viselkedik, ha a bemenetén lassan változtatjuk a feszültséget 0V-tól +5V-ig. Az eredmény a 63 ábrán látható. 5V UQ A Q 1 4V Q=A 3V 2V 1V 0V U 1V 2V 3V 4V A 5V - 74 6.3 ábra Normál TTL inverter átviteli karakterisztikája A tiltott á llapotban huzamosabb ideig nem tartózkodhat a bemenő jel, mert hibás működést, oszcillációt idézhet elő. A normál TTL áramkörök bemenetén a szintváltás időtartama legfeljebb 1µs lehet. Ha lassabb, kis meredekségű vagy zajos jeleket kell fogadni, a TTL áramkör családból a Schmitt-triggeres bemeneti fokozatú elemeket kell választanunk. A Schmitt-triggeres bemenet hiszterézises átviteli karakterisztikával rendelkezik (6.4 ábra) A hiszterézis következtében az áramkör képes lassan vá ltozó jeleket is feldolgozni, nem lép fel oszcilláció. További előnnyel is r endelkezik a

Schmitt-triggeres bemenet, a jelre kerülő zajok, zavarok ellen is nagyobb védelmet nyújt, mint a normál be meneti fokozat. Ha a jelre rákerült zavar amplitúdója kisebb mint a hiszterézis, az áramkört a zavar nem fogja hibás működésre késztetni. A TTL sorozatban az inverterek, logikai kapuk, jelerősítő elemek között is találunk olyanokat, amelyek Schmitt-triggeres bemenettel készülnek. 5V UQ A Q 4V Q=A 3V Hiszterézis 0,8 V 2V 1V 0V U 1V 2V 3V 4V A 5V 6.4 ábra Schmitt-triggeres inverter átviteli karakterisztikája A normál terhelést is pontosan definiálta a Texas. Egy normál TTL bemenet L szinten -1,6 mA áramot igényel, H szinten 0,04 mA-t. Egy normál TP kimenet L szinten 16 m A-t képes előállítani, H szinten -0,4 mA-t. Ha normál bemenetű és kimenetű áramkörökkel dolgozunk, egy kimenetre párhuzamosan 10 bemenet köthető rá egyszerre (azaz a kimenet terhelhetősége, a Fan Out értéke: 10). A TTL áramkörök kimenete is le

het más megoldású is, mint a már megismert normál TP kimeneti fokozat. A 65 ábrán látható a nyitott kollektoros (Open Collector, OP) kimeneti megoldás. - 75 - VCC (+5V) U T A A T1 R Q T2 T 1 Q Ki T3 D GND (0V) 6.5 ábra Nyitott kollektoros kimenetű TTL inverter A nyitott kollektoros kimeneten feszültség csak akkor jelenhet meg, ha külső terhelő ellenálláson át külső tápfeszültségre kötjük. Nyitott kollektoros kimenetet készítenek nagyobb áramigény kielégítésére, nagyobb tápfeszültséghez, de van normál áramterhelhetőségű, normál tápfeszültségű OC kimenetű IC is - ezzel különleges kapcsolási megoldásokat lehet kialakítani (ún. huzalozott kapukat) A háromállapotú (Tri State, TS) kimenet vezérelhető kimeneti fokozat. Ha a vezérlő jel engedélyezi a kimenet működését, azaz a kimenet aktív, a belső logikának megfelelően H vagy L szint jelenik meg a csatlakozó ponton. Ha a vezérlő jel tiltja a kapu

működését, a kapu harmadik állapotba kerül, nagyimpedanciás állapotba (lebeg), gyakorlatilag nem befolyásolja a csatlakozó pontra kötött vezeték villamos állapotát (úgyszólván kilép a rendszerből a lebegő kimenetű elem). A 66 ábrán látható egy háromállapotú kimenettel rendelkező NEM-ÉS kapu. A V vezérlő pontra vezetett L szint engedélyezi a kimenet aktív működését, ha a vezérlő jel H szintű, a kimenet lebeg. A B & Q V 6.6 ábra NEM-ÉS kapu, háromállapotú kimenettel A normál, TP kimenetű elemek kimeneteit nem szabad összekötni, mert tönkreteszik egymást. Ha egy vezetékre több kim enetet kell csatlakoztatni, erre a célra a háromállapotú kimenetet használjuk. Ez a megoldás a BUSZ rendszerek alapja. A BUSZ vezetékre csatlakozó több TS kimenetű elem közül egy adott pillanatban mindig csak egyet szabad engedélyezni, a többi le begteti a kimenetét - így nem befolyásolják a BUSZ vezeték állapotát, s nem is

károsítják egymást. - 76 A bipoláris npn sz ilícium tranzisztorokból más integrált áramköri családok is készülnek. A nagysebességű rendszerek építésére pl igen jól használható az ECL (Emitter Coupled Logic) áramköri sorozat. A bipoláris tranzisztorok helyett MOS-FET tranzisztorokból épülnek fel a különféle MOS integrált áramkörök. Az RCA komplementer MOS tranzisztor párosokból alakította ki a CD4000-es sorozatú CMOS SSI-MSI logikai áramkör családot. A CMOS logikai áramkörök tápfeszültsége általában nem olyan rögzített, mint a TTL elemeké, hanem egy szélesebb tartományból választható, pl. 3 15 V A tápfeszültségtől függőek a logikai jeltartományok is. Ha egy ilyen CMOS áramkört +5V-os tápfeszültségről működtetünk, a 6.7 ábra szerint alakulnak a jeltartományok. Kimeneten: Bemeneten: 5V H UT 4,95V H 4V 3V Küszöbszint 2V 1V L 0,05V 3,33V 2/3 U T 1/2 U T 1,57V 1/3 U T L 0V 6.7 ábra CMOS logikai

szintek, +5V tápfeszültségnél A CMOS áramkör bemenete a küszöbfeszültség felett H szintet érzékel, alatta L szintet, a küszöbfeszültség névleges értéke a tápfeszültség fele. A gyakorlatban a biztonság kedvéért ennél szűkebb tartományokat engedünk meg a bemeneten - a tápfeszültség harmadának megfelelően. Ha a CMOS áramkört nem terheljük, a kimenetén L szintként 0V, H szintként a tápfeszültség jelenik meg. Ha 20 50 CMOS bemenettel terhelünk egy CMOS kimenetet, akkor fog ezektől az értékektől kb. 0,05 V-tal eltérő jelszinteket produkálni. A 6.8 ábrán egy CMOS inverter felépítése látható, az egyik legelső (CD4000) CMOS áramkör családból. - 77 UT D1 A D2 D3 T1 pFET Q A 1 Q nFET T2 0V 6.8 ábra CMOS inverter belső felépítése A diódák itt valamennyien túlfeszültség ellen védik a belső áramkört. Látható, hogy az áramkör sokkal kevesebb elemet tartalmaz, mint egy TTL áramkör - azaz jobban

integrálható. A CMOS logikai sorozatban is ta lálunk Schmitt-triggeres bemenetűeket, s az ellenütemű kimeneten kívül e bben a sorozatban is sz erepelnek nyitott drain-ű és háromállapotú kimenetek is. 6.2 A kis- és közepes integráltságú áramkör családok A kis- és közepes integráltságú áramköröket a gyártók áramköri sorozatokként állítják elő. Egy-egy sorozat többszáz típusból is állhat, egységes paraméter rendszerrel, egységes logikai szintekkel. Ilyen áramköri sorozatok a TTL családok is. Az alapsorozat (normál, standard) a 74XXX sorozat, az ebbe tartozó áramkörök belső felépítését és legfontosabb paramétereit ismertük meg az előzőekben. A sorozat első eleme, a 7400 pl. 4 db kétbemenetű NEM-ÉS kaput tartalmaz, a lábkiosztása a 6.9 ábrán található meg A TTL áramkörök kifejlesztésekor az elektronikus berendezésekben egy- és kétoldalas, furatozott nyomtatott huzalozású áramköröket használtak, ezért a

digitális IC-k tokozása is átmenő furatokba szerelhető. Az analóg integrált áramköröknél már bevált DIL tokozást használták fel, 14 kivezetéssel. Tulajdonképpen a kivezetések száma határozta meg eleinte, hogy egy-egy IC-ben hány logikai kaput, egyéb elemet lehetett elhelyezni. - 78 VCC A4 B4 Q4 A3 B3 Q3 14 13 12 11 10 9 8 7400 & & & & 1 2 3 4 5 6 7 A1 B1 Q1 A2 B2 Q2 GND 6.9 ábra A 7400 tokbekötése Néhány gyakran használt áramkör típusszáma a következő: 7400 4 x 2 bemenetű NEM-ÉS kapu 7404 6 x inverter 7410 3 x 3 bemenetű NEM-ÉS kapu 7420 2 x 4 bemenetű NEM-ÉS kapu 7430 8 bemenetű NEM-ÉS kapu 7474 2 x D tároló 7476 2 x JK tároló 7490 4 bites aszinkron BCD számláló 7493 4 bites aszinkron bináris számláló. A 74XXX elemek megjelenése után egy gyorsabb (74H) és egy kisebb fogyasztású (74L) sorozatot is kif ejlesztettek, de ezek mára már elavultak. A következő sorozat a 74S

család - ez Schottky bipoláris tranzisztorokból épült fel, így nagy sebességű. Egy rendkívül sikeres sorozat a 74LS, amely kis fogyasztású Schottky bipoláris áramköri család. A két legfrissebb fejlesztés a 74AS továbbfejlesztett Schottky bipoláris sorozat, és ennek kisteljesítményű változata, a 74ALS sorozat. Fejlődése kezdeti évtizedében a CMOS logika külön utakon járt. Az RCA első sorozatai a CD4XXXA és a CD4XXXB. Ezek is SSI-MSI elemek, sokszor azonos logikai képességekkel, mint a TTL elemek - de következetesen eltérő lábkiosztással! Példázza ezt a CD4011, mely ugyanúgy 4 db kétbemenetű NEM-ÉS kaput tartalmaz, mint a 7400 (lábkiosztása a 6.10 ábrán szerepel) Valószínűleg ez az eltérő lábkiosztás volt az egyik döntő tényező abban, hogy a CMOS elemek nem terjedtek el, pedig rendkívül kedvező a fogyasztásuk, széles a tápfeszültség tartományuk. Közel egy évtized után a National Semiconductor cég fejlesztői

kíséreltek meg először a TTL sorozattal azonos logikai funkciókat, azonos lábkiosztással, CMOS technológiával előállítani - ez a sorozat a 74C jelölést kapta. S valóban, ez a sorozat igen gyorsan népszerűvé vált. - 79 UT A4 B4 Q4 Q3 A3 B3 14 13 12 11 10 9 8 & & & & 4011 1 2 3 4 5 6 7 A1 B1 Q1 Q2 A2 B2 GND 6.10 ábra A CD4011 lábkiosztása Rövidesen a Texas is m egkezdte a CMOS SSI-MSI elemek gyártását, sőt, az újabb változatok kidolgozásában is élenjárt. Sokszor célszerű TTL és CMOS elemeket vegyesen használni. Sajnos, a +5V tápfeszültségű CMOS elem nem tudja precízen feldolgozni a TTL kimenet jeleit. A probléma megoldására egy torzított (TTL jellegű) feszültségtartományokkal működő, +5V tápfeszültségű CMOS sorozatot alakítottak ki, ez a 74CT jelű. A következő fázis a nagysebességű CMOS elemek megjelenése - 74HC sorozat -, majd ennek TTL szintű változata, a 74HCT. A

legújabb CMOS áramkör család a továbbfejlesztett nagysebességű CMOS sorozat, a 74AC, és ennek is a TTL szintű változata, a 74ACT. A TTL áramkörök nagy előnye a viszonylag nagy terhelhetőség, a CMOS elemek pozitívuma a rendkívül kis fogyasztás. E két előnyt egyesítik a BiCMOS (Bipoláris-CMOS) áramkör család tagjai, melyeknél a bemeneti és a logikai részletek CMOS technológiával készülnek, a kimeneti fokozat pedig nagyáramú TTL. A BiCMOS család típusjele: 74BCT illetve a továbbfejlesztett sorozatnál: 74ABT. Az áramkörök típusjelében további lényeges információk is megjelennek. A 74-es előtag (pl 7400, 74C00) arra utal, hogy normál környezeti hőmérséklet tartományt visel el az IC ( 0.70 o C TTL elemeknél, és −40.+85o C a CMOS változatoknál) A katonai alkalmazásokra az 54 jelű áramköröket ajánlják (pl. 5400, 54C00), ezek megengedett hőmérséklet tartománya: −55.+125o C A típusjelet többnyire egy betű zárja le,

amelyik az IC tokozására utal. Néhány lehetőség ezekből: N műanyag DIL tokozás, 2,54 mm lábosztás, átmenő furatba szerelhető D műanyag SO tokozás, 1,27 mm lábosztással, felületen szerelhető - 80 J kerámia DIL tokozás, 2,54 mm lábosztással, átmenő furatba szerelhető. A TTL áramkörök és a TTL szintekkel működő CMOS sorozatok (CT, HCT, ACT, BCT, ABT) +5V tápfeszültségről működnek, ez a 60-as évek vége óta szabványos digitális tápfeszültség. A 90-es évek közepén azonban újabb áramkörök tűntek fel, melyek névleges tápfeszültsége 3,3V. Elsősorban a kisebb tápteljesítmény céljából csökkentették a tápfeszültséget, ezzel a teljes berendezés tápenergia igényét is csökkentve. A 3,3 V-os áramkörök között is többféle technológiával lehet találkozni, a 74LV sorozat pl. CMOS, a 74LVT sorozat pedig BiCMOS család Az SSI-MSI logikai áramkör családok tulajdonképpen hardver építőelem készletek. Egy logikai

rendszer, egy vezérlő készülék megépíthető úgy, hogy a teljes feladatot hardver egységekkel valósítjuk meg - az ilyen megoldásra lehet felhasználni ezeket az áramköröket. A feladat későbbi megváltozása, bővülése esetén azonban az elkészített vezérlő egység feleslegessé válik és egy másikat kell összeállítani helyette. Ha SSI-MSI áramkörökkel épül fel egy vezérlő egység, a vezérlési feladatokat olyan elemi jelfeldolgozási lépésekre kell felbontani, amely részletek az áramköri sorozatban megtalálható IC-kkel megoldhatók. Ezért az ilyen tervezési munka megkezdése előtt alaposan tanulmányozni kell az áramköri sorozatokat, azok paramétereit, a típusválasztékot. A tervezés során gondolni ke ll arra, hogy a digitális integrált áramköri sorozatok jeltartománya kötött, nem az ipari vezérlések szokásos jelszintjeivel dolgoznak. Ezért a beérkező jeleket az áramköri sorozatnak megfelelő tartományra kell

konvertálni s a kimenő jeleket a külső elemeknek megfelelő szintre kell alakítani, illesztő áramköröket kell beépíteni a beavatkozók, végrehajtók működtetésére. 6.3 A nagyintegráltságú áramkörök áttekintése A nagyintegráltságú áramkörök (LSI, Large Scale Integration) olyan digitális áramkörök, melyek egyetlen IC-ben egy digitális rendszer nagyobb egységeit, vagy egy teljes digitális rendszert tartalmaznak. A digitális áramkörökből általában számítógépeket és mikroszámítógépeket építenek, a vezérlő egységek is legtöbbször célszámítógépek. Egy korszerű hordozható személyi számítógépben általában csak 2 . 3 LSI IC található A telefax vezérlő egysége, az elektronikus mosógép vezérlője egyetlen LSI áramkör, egy mikrokontroller. A nagyintegráltságú elemeken belül is szokás a beintegrált tranzisztor funkciók száma alapján csoportokat - 81 megkülönböztetni, találkozhatunk a VLSI (Very LSI)

és a HLSI (High LSI) rövidítésekkel is. A nagyintegráltságú áramkörök egy lehetséges csoportosítása szerepel a 6.11 ábrán Na gyin t egr á ltsá gú á r a mk ör ök (L SI ) K a t a lógu s á r a m k ör ök Egyfázisú gyártás Alka lm a zá s sp ecifiku s á r a m k ör ök (ASI C ) M em ór ia á r a m k ör ök H u za lozot t logik a Bipoláris szorzó DMM IC Szoft p r ogr a m ozh a t ó logik a T á r olt p a r a n csszóva l T á r olt u t a sít á s sor oza t t a l (p r ogr a m) m ű köd ő á r a m k ör mű k ödő á r a m k ör Szintetizátor IC Léptetőmotor vezérlő Programozható mikroprocesszor kiegészítő Mikroprocesszor Mikrovezérlő Kétfázisú gyártás Hard programozható áramkörök Egyfázisú gyártás E lőgyá r t m á n y n élk ü li á r a m k ör E lőgyá r t m á n yr a épü lő á r a mk ör C ella k önyvtá r a s ter vezés L ogika i st r uk t ú r a nélk ü li előgyá r tm á n y L ogika i á r a m kör i r

észlet ek ből kia la k ít ot t előgyá r t má n y T elj esen felha szn á lói igén y a la p j á n ter vezet t á r a m k ör ULA E gyféle ka p u t ta r t a lm a zó előgyá r t m á n y GA Ö sszet et t str u kt ú r á j ú előgyá r t m á m y alapelem: PLA felhasználó által programozható: PLD (PLA, PAL, GAL, FPGA stb.) 6.11 ábra Az LSI áramkörök csoportosítása A katalógus áramkörök teljes egészében a félvezető gyártó szellemi termékei. A piaci igények alapján a fejlesztők kidolgozzák az áramkört, majd a potenciális vevőket tájékoztatják az új termékről: a cég katalógusában megjelenik az új IC. Ha az új áramkör sikeres, a továbbiakban folyamatosan szerepel a katalógusban s a gyártási programban. Ha nem vásárolják megfelelő mennyiségben, akkor a gyártó előbb-utóbb beszünteti az előállítását. A huzalozott logika olyan integrált áramkört takar, melynek minden részfunkciója rögzített, változtathatatlan.

Mára már a huzalozott logikájú LSI áramkörök elvesztették jelentőségüket. Egy jellegzetes típusuk volt a - 82 bipoláris szorzó áramkör, a kisebb képességű mikroprocesszorokat ilyen IC-vel kellett kiegészíteni, hogy elfogadható sebességgel tudjon a mikroszámítógép számolni. Az utasítással programozható LSI áramkör nagyszámú különféle logikai műveletre képes, s azt, hogy az adott esetben hogyan viselkedjék, utasítással lehet meghatározni. Ez az IC típus két csoportra bontható Az egyik csoport parancsszó tárolót tartalmaz, abba kell kívülről beírni az utasítást. Az utasítás hatására az áramkör megkezdi a megfelelő működést, s addig nem is változik a működése, amíg másik parancsszót nem küldenek a tárolójába. Ilyen felépítésűek a mikroprocesszorok programozható kiegészítő áramkörei. Szép példa erre az áramkör típusra a programozható hangszintetizátor IC. A betöltött utasítás beállítja a

hangmagasságot, a hangszínt - s amíg az utasítás nem változik, az előírt hangjelet küldi ki az áramkör. Az utasítás sorozat folyamatos beolvasásával működő áramkörök a mikroprocesszorok és a mikrokontrollerek. Ezek szinkronizált működésű áramkörök, egy-egy utasítás végrehajtásának kötött ideje van, s az utasítás ciklus végén új utasítást kell kapniuk. Ha egy mikroprocesszoral hosszabb időn át egyfajta működést kívánunk megvalósítani, akkor az annak megfelelő utasítást folyamatosan, újra és újra be kell olvastatnunk (pl. egy programhurok segítségével) A mikrokontroller a mikroprocesszort, mint magot tartalmazza, s azon kívül további kiegészítő elemeket is beintegráltak ezekbe az IC-kbe. A katalógus áramkörökhöz kell besorolnunk a memória áramkörök közül azokat, melyeket a processzorok írhatnak is, olvashatnak is (ilyen a SRAM, a DRAM). Az alkalmazás-specifikus áramkörök (ASIC, Application Specific

Integrated Circuit) tulajdonképpen a félvezető gyártó és a megrendelő felhasználó közös szellemi termékei. Ha a megrendelő kívánsága szerint, teljesen egyedi jelleggel tervezik meg és gyártják le az integrált áramkört, akkor beszélünk teljesen felhasználói tervezésű áramkörről. Megoldható, hogy a megrendelő kívánságát a félvezető gyártó úgy elégíti ki, hogy korábban már gyártott részletekből tervezi meg az áramkört. A korábbi gyártás dokumentációja, adatai számítógépes adatbankban vannak, s a z összeválogatást egy hatékony szoftver végzi el. Ezt az eljárást hívják cellakönyvtáras tervezésnek. A legnépszerűbb ASIC áramkör család az előgyártmányra épülő áramkörök csoportja. A félvezető gyártó által programozható ASIC elemek előgyártmányán, a szilícium chip-en nagyszámú tranzisztort, diódát, ellenállást alakítanak ki ( ULA), esetleg ezeket valamilyen elemi digitális - 83 kapuvá

össze is kapcsolják (GA). Az előgyártmányok tulajdonképpen katalógus áramkörök, a félvezető gyártó védőréteggel fedi be a felületüket és raktározza a felhasználásig. A felhasználó igényei szerint készül el az előgyártmány részleteit összekötő alumínium fémezés megvalósításához szükséges fotomaszk - ezért nevezik ezeket az áramköröket maszkprogramozható elemeknek. Ide lehet besorolni a félvezető memória áramkörök közül is a maszk-programozható áramkört, a ROM-ot. A felhasználó által programozható ASIC változatoknál az előgyártmányt a félvezető gyártó forgalomba hozza, a felhasználó a megvásárolt előgyártmányt saját maga alakíthatja a végleges formára - a felhasználó végzi el a logikai funkciók beprogramozását. A felhasználó által beprogramozható ASIC elemek összefoglaló elnevezése: PLD (Programmable Logic Device). A PLD elemekkel a jegyzet egy másik fejezete foglalkozik. A félvezető

memória áramkörök között is találunk ide sorolható elemeket. Az egyszer programozható, csak olvasható memória a PROM, az ultraibolya fénnyel törölhető, csak olvasható memória az EPROM, az elektromos jellel törölhető csak olvasható memória az EEPROM. A 6.12 ábrán egy mikroszámítógép egyszerűsített vázlata szerepel, ezen néhány LSI áramkör típus alkalmazását jól lehet szemléltetni. Mikroszámítógép BUSZ vezérlő és kezelő áramkör 8 CPU µP (8) óra generátor adatBUSZ címBUSZ 16 vezérlőBUSZ Rendszervezérlő és kezelő áramkör ROM RAM Kimenet Bemenet BUSZ csatlakozó Operatív memória max. 64K Párhuzamos, soros Port-ok 6.12 ábra Mikroszámítógép felépítése A mikroszámítógép központi egysége, a CPU (Central Processor Unit) lelke a mikroprocesszor. A mikroszámítógépeket BUSZ rendszerrel építik, a CPU és a további elemek három BUSZ felhasználásával kapcsolódnak egymáshoz (címBUSZ, adatBUSZ,

vezérlőBUSZ), a BUSZ vezérlő és kezelő elemeket sokszor alakítják ki PLD felhasználásával. - 84 - A BUSZ RENDSZER ÉS A TOVÁBBI ELEMEK EGYÜTTMŰKÖDÉSÉT MEGVALÓSÍTÓ RENDSZERVEZÉRLŐ ÉS KEZELŐ ÁRAMKÖR IS LEHET PLD ALAPÚ. LÁTHATÓAK A MIKROSZÁMÍTÓGÉP JELLEGZETES MEMÓRIA ELEMEI, AZ ÍRHATÓ/OLVASHATÓ MEMÓRIA, A RAM (SRAM VAGY DRAM) ÉS A CSAK OLVASHATÓ ROM (AMI LEHET ROM, PROM, EPROM VAGY EEPROM). A KIMENETI ÉS BEMENETI ÁRAMKÖRÖK ÁLTALÁBAN PROGRAMOZHATÓ EGYSÉGEK, BELSŐ PARANCSSZÓ TÁROLÓVAL MŰKÖDŐ ÁRAMKÖRÖK. 7 A MIKROKONTROLLEREK A nagyintegráltságú áramkörök felosztásakor kiderült már, hogy a mikrokontroller (mikrovezérlő) egy olyan LSI áramkör típus, amelyik folyamatosan beolvasott utasításokat hajt végre. A mikrovezérlő komplettebb eszköz, mint a mikroprocesszor. A mikrokontroller belsejében megtalálható a mikroprocesszor, sőt, egy teljes CPU, kiegészítve a mikroszámítógépek több e lemével. Erre utal

a mikrokontroller régebbi elnevezése is: egy-chip-es mikroszámítógép (single chip microcomputer). 7.1 A mikrokontrollerek felépítése, elterjedt típusok A mikrovezérlők népszerűsége folyamatosan nő. Az első képviselőik 1976-ban jelentek meg, alig öt évvel a mikroprocesszor születése után. Az Intel cég az elsők között alkotta meg 8048 típusú 8 bites mikrokontrollerét. A mikrokontroller kissé leegyszerűsítve egy teljes mikroszámítógép egyetlen IC tokban - de nem általános célú mikroszámítógép, hanem elsősorban vezérlési célokra szolgáló. A mikrovezérlőket olyan vezérlési feladatokra ajánlják, melyek teljesítéséhez a mikrovezérlő önmagában elegendő. Ha egy mikrokontrollert csak több-kevesebb további áramkörrel kiegészítve lehet felhasználni egy adott célra, akkor vagy nem igazán mikrovezérlős megoldásra való a feladat, vagy nem a legmegfelelőbb mikrovezérlőt választották ki a tervezők. Ma már több száz

különféle mikrokontrollert gyártanak, így egy-egy vezérlési feladat megoldásakor célszerű alaposan áttanulmányozni a különféle gyártók ajánlatait. - 85 A mikrovezérlő áramköröket általában úgy emlegetik, mint áramkör családokat. Az említett 8048 is az Intel 8048 mikrovezérlő család egyik tagja. A c salád azonos C PU-val készülő, de néhány paraméterben egymástól eltérő áramkörök sorozata. Ez a család elv is azt a célt szolgálja, hogy a feladathoz a legmegfelelőbb mikrokontrollert lehessen kiválasztani. A családon belül változhat a programtár mérete, a belső írható/olvasható SRAM mérete, a PORT-ok száma. A családtagok a programtár jellegében is különböz hetnek egymástól, ez a változatosság a fejlesztő munkát segíti. Az Intel cég a 8048 c salád után röviddel kifejlesztette a 8051 családot is. Ez a mai napig igen népszerű mikrokontroller sorozat, sok másodgyártó is ké szíti, és nagyszámú

továbbfejlesztett, kiegészített mikrokontrollernek is ez a központi magja. A Philips és a Siemens cégek járnak élen a 8051 a lapon történő fejlesztésben, nagyszámú különleges mikrovezérlőt gyártanak 8051 "maggal". Az Intel hamarosan 16 bites mikrokontrollert is kifejlesztett (8096 család). A Motorola cég M6801, 6805 családjai, a Texas TMS 7000 család, a Zilog cég Z8 mikrokontroller családja is népszerű, de a 8 bites mikrovezérlők között a 8051 tekinthető ipari szabványnak. A már alaptípusként kezelhető mikrokontrollereken és azok továbbfejlesztett típusain kívül nagyszámú új, eredeti fejlesztésű eszköz is jelen van a mikrovezérlő piacon. Ezek közül is m egismerkedünk egy családdal, a Microchip cég PIC mikrokontrollereivel. 7.11 Az Intel 8048 nyolcbites mikrokontrollercsalád A 7.1 ábra mutatja be a 8048 mikrovezérlő belső felépítését - 86 - 8048 m ik r ovezér lő utasításBUSZ adatBUSZ CPU (8) címBUSZ

vezérlőBUSZ óra generátor program memória (ROM) 1024x8 Vezérlő jelek (megszakítás stb.) adat memória (SRAM) (regiszterek) bemeneti/ kimeneti elemek Időzítőszámláló (1 db 8 bites) Párhuzamos PORT-ok (3x8 bit) Számláló bemenet 64x8 7.1 ábra A 8048 mikrokontroller belső elemei A 8048 egy NMOS integrált áramkör. Az áramkör család minden tagja 8 bites időzítő/számlálóval és három nyolcbites párhuzamos PORTtal rendelkezik. A 48-as család fontosabb tagjai a következők: típusszám progr. memória SRAM 8048 8748 8035 1024x8 ROM 1024x8 EPROM nincs belső 64x8 64x8 64x8 8049 8749 8039 2048x8 ROM 2048x8 EPROM nincs belső 128x8 128x8 128x8 8050 8040 4096x8 ROM nincs belső 256x8 256x8 A 7.1 ábrát a 612 ábrával összevetve látható, hogy a mikrokontroller valóban egy egybeintegrált mikroszámítógép. Mivel vezérlési feladatokra készült, a CPU egyszerűbb, mint egy általános célú mikroprocesszor esetében. A

mikrokontroller kevesebb utasítást ismer, nincsenek bonyolult matematikai műveleti utasításai. Az utasításkészletet a vezérlési feladatoknak megfelelően állították össze a tervezők. A legtöbb alkalmazásban a kisméretű belső programtár elegendő a feladat megoldására, de ha szükséges, a 8048-ast 4096x8 (4Kx8) program memória kapacitásig külső tárral lehet kiegészíteni. A 6.12 ábrán látható, hogy a mikroszámítógépnél általában a belső BUSZ-t a gyártó egy BUSZ bővítő csatlakozón át hozzáférhetővé teszi, így bővíthető pl. a mikrogép memória rendszere A 71 ábrán viszont azt látjuk, hogy a mikrokontroller belső BUSZ-ához a felhasználó nem tud csatlakozni. Hogyan lehet mégis a mikrovezérlőhöz kapcsolni a külső - 87 memóriát? Nem minden mikrokontrollernél van erre lehetőség, de a 8048 (és a 8051) család bővíthető! A PORT-okon keresztül valósul meg az adatkapcsolat ilyenkor - ez azonban azt is j elenti,

hogy a vezérlési feladatokra felhasználható PORT pontok száma jelentősen lecsökken. A 8048 mikrokontroller sajátossága, hogy minden belső egysége minden működési lehetőségét külön-külön utasítással lehet kiváltani. Ezért nem is sz ívesen használják fel az IC gyártók a 8048-at összetettebb mikrokontrollerek magjaként, hiszen minden egyes újabb belső egység az utasításkészlet tetemes bővülését jelenti. Újabban a család tagjait CMOS változatban is gyártják (pl. 80C48, 80C49) 7.12 Az Intel 8051 nyolcbites mikrovezérlő sorozat A mikrovezérlő felhasználók jelenleg legnagyobb számban a 8051 család tagjait és azok "leszármazottkait" alkalmazzák, vagyis a 8051-re épülő továbbfejlesztett típusokat. A 8051 felépítése és utasítás készlete ipari szabvánnyá vált, sok új fejlesztésű, eltérő kialakítású mikrovezéslőnél is arra törekszik a gyártó, hogy a 8051 uta sításait a termékei képesek legyenek

értelmezni, feldolgozni. A 8051 család Intel termék, bár az áramköröket számos más gyártó is átvette, a 8051 magra épülő továbbfejlesztett mikrovezérlők többségét pedig nem is az Intel állítja elő. A 8051 mikrokontroller belső egységeit a 7.2 ábra mutatja be A belső felépítés vázlatán is észrevehető néhány újdonság, összevetve azt a 8048-cal; megnőtt a ki/bemenetek száma, egy soros PORT is beépült az IC-be, több időzítő/számláló egysége van, nagyobbak a belső memória területek. A 8051 64K külső programtárat és 64K külső adattárat tud kezelni (természetesen ekkor itt is két PORT-ot leköt ez a feladat). 8051 m ik r ovezér lő utasításBUSZ adatBUSZ CPU (8) címBUSZ vezérlőBUSZ óra generátor program memória (ROM) 4096x8 adat memória (SRAM) (regiszterek) bemeneti/ kimeneti elemek Időzítőszámláló (2 db 16 bites) 128x8 Vezérlő jelek (megszakítás stb.) Párhuzamos PORT-ok Soros PORT (4x8 bit)

Számláló bemenetek - 88 7.2 ábra A 8051 mikrovezérlő belső felépítése A 8051 család Intel gyártmányú tagjai a következők: típusszám program memória SRAM 8051 8751 8031 4096x8 ROM 4096x8 EPROM nincs belső 128x8 128x8 128x8 8052 8752 8032 8192x8 ROM 9192x8 EPROM nincs belső 256x8 256x8 256x8 A 8051-es is alapvetően NMOS áramkör család, de sok eleme beszerezhető CMOS változatban is (pl. 80C51, 80C52) Az 51-es család utasításkészlete hatékonyabb, korszerűbb, ezért szolgál ipari szabványként. A 8051 belső írható/olvasható memória területén, a SRAM-ban elhelyeztek egy speciális regiszter területet. Ezek a regiszterek a belső egységek parancsszó tárolói. A belső egységek (időzítő/számláló, PORT-ok, soros egység) programozásához ezekbe a regiszterekbe kell megfelelő bitsorozatokat betölteni - az utasításkészletben ehhez csak egy belső regiszter író utasítás kell. Ezért alkalmazzák szívesen a 8051-et

összetettebb mikrokontrollerek magjaként, mert a mellé integrált további egységek kezelését is az alap utasításkészlettel lehet megoldani. A mikrovezérlő gyártók egyik része az új fejlesztésű eszközöket mindet a 8051-re építi, de azért rendszeresen jelentkeznek teljesen új fejlesztések is - ilyen volt a Microchip cég PIC mikrovezérlő sorozata is. 7.13 A Microchip cég nyolcbites PIC mikrovezérlői A PIC Peripheral Interface Circuit) mikrokontrollerek a Microchip cég termékei. A család legfontosabb jellemzője, hogy nincsenek programtár változatok. A legtöbb esetben a mikrovezérlő EPROM-mal készül, nincs belső tár nélküli és nincs ROM tartalmú változat. A változatosságot a paraméterek különbözősége mellett az jelenti, hogy a chip-eket kvarcablakkal tokozzák (így az EPROM tartalma törölhető), vagy olcsó, zárt műanyag tokozással (ekkor csak egyszer lehet programozni, OTP - One Time Programmable - változat). A PIC

mikrokontrollerek mind CMOS integrált áramkörök, rendkívül kis fogyasztásúak, nagy sebességűek. További különlegességük, hogy a programozható részletek ezeknél is belső regisztereken keresztül kezelhetőek, a sok különféle PIC mikrovezérlőnek ezért nagyrészt azonos - 89 az utasításkészlete. Ez a hatékony utasításkészlet a PIC16C5x elemeknél pl. mindössze 35 utasításból áll, azaz a PIC mikrovezérlők RISC (csökkentett utasításkészletű) proceszoroknak tekinthetők. Az egyik legkisebb családtag, a PIC 16C54 belső felépítése látható a 7.3 ábrán PI C 16C 54 m ik r ovezér lő utasításBUSZ adatBUSZ CPU (8) címBUSZ vezérlőBUSZ óra generátor program memória (EPROM) 512x12 adat memória (SRAM) (regiszterek) Vezérlő jelek bemeneti/ kimeneti elemek Időzítőszámláló (1 db 8 bites) Párhuzamos PORT-ok (8 bit + 4 bit) Számláló bemenet 32x8 7.3 ábra A PIC 16C54 mikrokontroller belső elemei A PIC

mikrovezérlők fejlesztése gyors ütemben folyik; jelenleg három elterjedt csoportjukat forgalmazza a Microchip: - PIC 16C5x elemek (35 darab 12 bites utasításuk van) - PIC 16Cxx elemek (38 darab 14 bites utasításuk van) - PIC17Cxx elemek (58 darab 16 bites utasításuk van). A két első csoport közös megnevezése: PIC 16Cxx áramkörök. A PIC16Cxx áramkör család néhány fontosabb típusa a következő: típusszám progr. memória PIC16C54 PIC16C55 PIC16C56 PIC16C57 PIC16C71 PIC16C84 PIC16C64 512x12 EPROM 512x12 EPROM 1024x12 EPROM 2048x12 EPROM 1024x14 EPROM 1024x14 EEPROM 2048x14 EPROM SRAM párh. PORT 32x8 32x8 32x8 80x8 36x8 36x8 128x8 1x4, 1x8 1x4, 2x8 1x4, 1x8 1x4, 2x8 1x4, 1x8 1x4, 1x8 1x4, 3x8 különlegesség 4 csatornás A/D EEPROM adattár Az utasítások hatékonyságához az is hozzájárul, hogy ezekben a nyolcbites mikrokontrollerekben az utasítások 12, 14 va gy 16 bite sek, s minden utasítás egyetlen szóból áll, a végrehajtásuk egyetlen

processzor ciklust igényel. - 90 - 7.2 A mikrokontroller programozása, vezérlési alkalmazása A mikrokontroller tulajdonképpen egy egyszerű felépítésű célmikroszámítógép. A rendszerfejlesztőnek célszerű ismernie a teljes választékot, mert így tud a vezérlési feladathoz optimális mikrovezérlőt választani. A feladatot elemezve a következőket célszerű megállapítani: − bemenő digitális jelek száma, jellege (egy- vagy több bites, soros vagy párhuzamos) − bemenő analóg jelek száma, jellege − kimenő digitális jelek száma, jellege − kimenő analóg jelek száma, jellege − vezérlési feladat bonyolultsága, számítási, eseményszámlálási és időmérési igények − jelfeldolgozás folyamatábrája alapján az utasításkész-lettel szembeni igények − program méretének becslése − szükséges működési sebesség − megengedhető fogyasztás. Ha a felsorolt szempontok szerint a vezérlési feladatot kielemezzük, a

rendelkezésre álló mikrokontroller családok közül kiválasztható a megfelelő. A családon belüli választás a fejlesztés további lépései során valósulhat meg. Arra kell törekedni, hogy a választott mikrovezérlővel további IC-k nélkül lehessen megoldani a feladatot. A mikrokontroller alkalmazása hasonlítható az ipari szabadon programozható vezérlők, a PLC-k alkalmazására. De a PLC egy robusztus, ipari körülmények közé tervezett, számtalan zaj és zavar elleni védelemmel ellátott mikroszámítógép, a mikrokontroller egyetlen IC, ennél a zajok, zavarok elleni védelmet csak kiegészítő megoldásokkal lehet elérni. Szép alkalmazási példa a számítógépek szokásos kezelőeleme, az egér. Ebben két optikai jeladót forgat az alapon gördülő golyó, a jeladókat mikrokontroller kezeli (sok egérben 80C48), s ez állítja elő a számítógép által megkívánt jeleket. A rendkívül kis f ogyasztású CMOS áramkörök teszik lehetővé,

hogy az egérben ne legyen elem. Az egerek a számítógépek soros PORT-jára csatlakoznak, s a zon nincs tápfeszültség csatlakozó pont. A működést engedélyező jel H szintje azonban elegendő energiájú ahhoz, hogy a mikrovezérlő tápegységeként is szolgáljon. Abban a tekintetben mindenképpen hasonlít egymásra a mikrokontroller és a PLC, hogy mindkettőnek kötött utasítás készlete van, a feladatot ennek megfelelő elemi lépésekre kell felbontani. A programfejlesztést a család elv is segíti. Ha a kiválasztott - 91 paraméterrendszerű mikrovezérlőnek van EPROM-os változata, azzal lehet a programfejlesztést elvégezni, s a sorozattermékbe a ROM-os változatot célszerű beépíteni. Kényszerűségből sokszor külső programtárral használják a mikrovezérlőket - ekkor a fejlesztés is külső EPROM-mal oldható meg. A PIC mikrovezérlőknél a zárt tokozású, törölhetetlen mikrovezérlők kerülnek a végtermékbe. Egy legújabb PIC

változat pedig EEPROM-mal készül, így a fejlesztés és a sorozattermék azonos áramkör lehet. A mikrokontrollerek programfejlesztését sok különf éle eszközzel támogatják a gyártók. A leggyakoribb az assembler programok alkalmazása a program kifejlesztésére, a kipróbálására a szimulátor program alkalmas - ez szoftver úton utánozza a mikrovezérlő működését. A legtöbb mikrovezérlőhöz valódi hardver emulációs rendszer is kapható, ezzel a cél-rendszeren, valós körülmények között lehet kipróbálni a programot fejlesztés közben. A fejlesztést támogató programok színvonala is hoz zájárulhat egy mikrovezérlő sikeréhez. A PIC mikrokontroller utasításai sajátosak A PARALLAX cég, mely a PIC fejlesztő szoftvereket gyártja, olyan assemblert készített, mely a PIC utasításokon kívül a 8051 szabványos utasításkészletét is ta rtalmazza - így a fejlesztők a megszokott utasításkészlettel programozhatják az új elemet. Az

assemblereken kívül magas szintű programnyelveken is fejleszthető egyes mikrokontrollerekhez program, elsősorban a C jellegű fordítók terjedtek el. A BASIC programnyelv egyszerűbb, de vezérlési feladatokra megfelelő lehet. Az Intel a 8052 mikrovezérlőt szállítja olyan belső fordító programmal, mely közvetlenül BASIC utasítások végrehajtására teszi alkalmassá a mikrokontrollert (8052AH-BASIC). A PARALLAX a PIC 16C54 mikrovezérlőt programozta be úgy, hogy BASIC utasításokat tudjon végrehajtani, s a mikrovezérlőt egy bélyeg méretű panelen EEPROM memóriával, feszültségstabilizátorral egészítette ki. Az így kialakított BASIC-STAMP I m ár egy komplett mikroszámítógép, elsősorban vezérlési feladatokra használható. A BASIC-STAMP I felépítését a 7.4 ábra szemlélteti - 92 - BASI C ST AM P I Soros adatkezelésű EEPROM PI C 16C 56 3 IBM PC csatlakozó 8 +5V stabilizátor bemeneti/ kimeneti pontok (8 db) 9V telep csatlakozó

7.4 ábra A BASIC STAMP I felépítése A BASIC STAMP I nyolc digitális bemeneti/kimeneti pontot biztosít a felhasználónak. A programozást IBM PC kompatíbilis számítógépen lehet elvégezni, egy speciális BASIC nyelven. A BASIC alaputasításain kívül sa játos vezérlési feladatok is e gyetlen utasítással programozhatóak, pl.: BUTTON nyomógombot kezelő utasítás SOUND hangjelet előállító utasítás POT potenciométer állását beolvasó utasítás PWM impulzusszélesség modulált kimenőjelet előállító utasítás. A B ASIC programot egy háromeres kábellel (a PC nyomtató csatlakozóján át) lehet betölteni a BASIC STAMP I-en lévő EEPROMba, ami tápfeszültség nélkül is őrzi ezt a programot. A BASIC STAMP I fogyasztása olyan kicsi, hogy egy 9V-os telepről kb. egy évig képes működni. A BASIC STAMP I sikere hatására a PARALLAX kifejlesztette a BASIC STAMP II-t, melynél nagyobb a bemeneti/kimeneti pontok száma és az EEPROM kapacitás,

továbbá bővebb az utasítás készlet is. - 93 - 8. PC-VEL MEGVALÓSÍTOTT VEZÉRLŐ EGYSÉG Az IBM a PC megalkotásával a számítástechnika új korszakát nyitotta meg. Mára az IBM PC-k és a hasonmások, a klónok, az IBM P C kompatíbilis személyi számítógépek a gazdaság minden területén megtalálhatóak. Természetesen felmerült annak a lehetősége is, hogy vezérlési feladatokat oldjanak meg ezekkel a gépekkel. A kereskedelmi forgalomban lévő PC-k laboratóriumi körülmények között megfelelnek ilyen célra is, de ipari körülmények között nem elég megbízhatóak, kicsi a zavartűrésük. A fejlesztők ezért kialakították a zavarvédett, robusztus tokozású ipari PC-ket, s azóta az ipari alkalmazásoknak sincs akadálya. Az IBM PC i s mikroprocesszorral felépített számítógép, a 6.12 ábrán bemutatotthoz hasonló belső felépítéssel. A PC egy sorozat belső csatlakozót tartalmaz, amelyeken át a teljes belső BUSZ rendszer

elérhető. Az ide behelyezett bővítő kártyákkal lehet különféle speciális feladatokra alkalmassá tenni a gépet. A PC szabványos soros (RS232C) és párhuzamos (Centronics) jelcsatlakozóit csak speciális esetekben lehet felhasználni vezérlési feladatokra. Ha vezérlési célra IBM PC-t szeretnénk felhasználni, a BUSZ bővítő csatlakozókba behelyezhető bővítő kártyákkal kell megoldani a feladatot. Tudjuk, hogy az IBM PC gépek is gyorsan fejlődnek, a mikroprocesszorok cserélődnek, s eközben a BUSZ rendszer sem marad változatlan. A fejlesztést azonban úgy oldják meg, hogy a kiegészítő kártyák a továbbfejlesztett BUSZ csatlakozójába is behelyezhetőek maradjanak. A számítógépbe behelyezhető bővítő kártya (plug-in board) tulajdonképpen egy illesztő egység a külvilág (azaz a vezérelt rendszer) és a PC között. A bejövő jeleket át kell alakítani a PC-vel feldolgozható jelekké, a kimenő jeleket pedig a vezérelt rendszer

igényeinek megfelelően kell átalakítani. Biztosítani kell, hogy a PC érzékeny belső egységeit külső hatások, zavarok ne veszélyeztessék. Mindezt célszerű gyári kivitelű, professzionális tervezésű kártyákkal megoldani. Ma már nagyszámú gyártó foglalkozik IBM PC kiegészítő kártyák előállításával. A Burr-Brown Company IC gyártóként közismert cég, elsősorban a jelfeldolgozó áramkörök területén. Az IBM PC elterjedése után azonban kiegészítő kártyákkal is megjelent a BB a piacon. Vezérlési feladatokra több 32 . 40 digitális bemenetet, kimenetet biztosító kártyát ajánlanak, de van olyan kártyájuk is, melyen számlálóegység, vagy A/D illetve D/A áramkör is található, ha a feladat megkívánja az alkalmazásukat. A BB a kártyákat működtető szoftvert is szállítja. A SYSCHECK program a legtöbb BB kártyát kezeli, de QuickBASIC, C, TurboPascal - 94 nyelvű működtető programok szállítását is vállalják.

Az ADVANTECH cég népszerű irányítási szoftvereivel is használhatók a B B ká rtyák (LABTECH CONTROL, LABTECH NOTEBOOK). A National Instruments cégnek is széles választéka va n I BM PC kiegészítő kártyákból, s e kártyákból is megépíthetőek a vezérlési rendszerek. Multifunkciós paneljein 24 32 digitális bemenet/kimenet mellett többcsatornás A/D, D/A is található, valamint számláló egységek. Az NI panelekhez a gyártó a LabVIEW és a LabWindows programokat szállítja. Ezek a szoftverek biztosítják a be állítások e lvégzését, a vezérlési algoritmus programozását s később a vezérlés működése közben a monitoron kényelmesen követni is lehet a működést. Mindezt menü rendszerű programfelépítés és rendkívül jó minőségű grafika egészíti ki. Az ADVANTECH cég az IBM PC kiegészítő kártyák egyik legnevesebb gyártója. A legkülönfélébb feladatokra alkalmas kiegészítő kártyákat készítenek, választékukban

nagyszámú vezérlési célú kártya is szerepel. Ez a cég is sz állít multifunkciós kártyákat is ( 16 digitális bemenettel és kimenettel, számláló egységgel, A/D és D/A konverterrel), de széles a választék egyfunkciós kártyákból is (pl. 32 digitális bemenetű + 32 digitális kimenetű kártya, 10 db 16 bites számlálót tartalmazó kártya stb.) Az ajánlatban még léptetőmotor vezérlő kártya is található A vezérlési feladatok programozására, a kártyák kezelésére az ADVANTECH a már említett LABTECH CONTROL és LABTECH NOTEBOOK szoftvereket fejlesztette ki, e zek is biz tosítják a már működő rendszer felügyeletét is. A vezérlési feladat alapján részletes elemzéssel lehet meghatározni, hogy megvalósítható-e IBM PC felhasználásával. A döntéshez ismerni kell a bővítő kártya választékot is, ezért célszerű alaposan tájékozódni. Az előzőekben érintett gyártókon kívül még sok cég termékei beszerezhetőek

Magyarországon is, a külföldi gyártó hazai képviseletén keresztül. Egy vezérlési rendszert mindenképpen egyetlen gyártó kártyáiból célszerű összeállítani. A több gy árból származó kártyarendszerben m ajdnem biztosan lesznek kisebb-nagyobb együttműködési problémák, hibajelenségek. A vezérlési feladatot elemezve meghatározható a digitális bemenetek és kimenetek száma, az, hogy szükséges-e számláló vagy időmérő egység. A számlálás és időmérés természetesen megoldható a PC szoftverével is, ezért előre elemezni kell a hardver és a szoftver megoldás költségigényét, időigényét, megbízhatóságát. Meg kell vizsgálni, hogy analóg jeleket kelle kezelni - 95 Külön elemzést kíván az, hogy milyen védettséget kell biztosítani a vezérlő egységnek, az IBM PC-nek. A nagyobb választékot kínáló cég ajánlatában pl. többféle digitális bemeneti egységet is találunk, TTL kompatíbilis közvetlen bemeneteket

és galvanikusan leválasztottakat. Utóbbi természetesen költsé gesebb megoldás, de na gy védelmet nyújt zavarok és túlfeszültség ellen. A digitális kimenet is le het TTL kimenet vagy nyitott kollektoros, esetleg jelfogós. A jelfogós kimeneteknek nagy a helyigényük, kisebb az élettartamuk, jelentős a fogyasztásuk - viszont nagyobb teljesítmény kapcsolására alkalmasak s e zek is biztosítják a galvanikus leválasztást. Valamivel költségesebbek a teljesen elektronikus, galvanikus leválasztást biztosító digitális kimeneti kártyák. Laboratóriumi körülmények között megengedhető, hogy galvanikus leválasztás nélkül alakítsuk ki a vezérlő rendszert, de ipari feladatra célszerű biztosítani a leválasztást. Közismert, hogy a PC szerelése, bővítése előtt mindig ki kell kapcsolni a számítógépet. Ha nincsenek galvanikusan leválasztva a bemenetek vagy a kimenetek, ez a kikapcsolási követelmény a teljes vezérlési rendszerre is é

rvényes. Ha a ve zérelt rendszerben gyakoriak a változtatások, ez is indokolha tja a galvanikus leválasztást. Egy további előírás, hogy hálózati árammal működtetett egységgel az IBM PC csak galvanikus leválasztáson keresztül kapcsolódhat össze. Az IBM PC kiválasztásáról már esett szó, a környezetnek megfelelő számítógépre van szükség. Célszerű megoldani a PC szünetmentes táplálását is, vagy beépített vagy külső, akkumulátoros tápegységről. A beszerzéskor a kártyákkal együtt a gyártó saját szoftvereit is célszerű megvásárolni, valószínűleg csak ideiglenes megtakarítás lesz, ha ezekről lemondunk. Az eredeti szoftver olyan mértékben támogatja a rendszer fejlesztést, programozást, később az alkalmazást, hogy mindezt más módon elkészített szoftverrel valószínűleg nem lehet megoldani. Említésre került már a korszerű ipari vezérlő készülék, a PLC. Az IBM PC kiegészítő kártyák választéka és

szoftvereik lehetővé teszik, hogy egy IBM P C-ből kiegészítő kártyákkal PLC-t állítsunk elő. Ezt azonban csak akkor célszerű megtenni, ha a PC valamelyik speciális tulajdonságát ki lehet majd használni (pl. nagy számítási képesség, különleges szoftverek, csatlakozási lehetőség hálózatokhoz, adatbázisokhoz stb.) Ha ilyen célok nincsenek, a PC bővítésével létrehozható PLC helyett valószínűleg gazdaságosabb egy eredeti PLC beszerzése. - 96 - 9. A PLD ÁRAMKÖRÖK A PLD (Programmable Logic Device, programozható logikai áramkör) egy félkész logikai elem, egy előgyártmány, mely az alkalmazási célnak, a felhasználó igényeinek megfelelő végleges áramkörré alakítható át. Ezt az átalakítást a PLD elemek esetében a felhasználó végzi el, és a PLD programozásának nevezik. A PLD programozására a legtöbb EPROM programozó készülék alkalmas, de speciális PLD programozó berendezéseket is forgalmaznak. A PLD

áramkörök programozatlan állapotban (ezt a programozatlan chip-et nevezzük előgyártmánynak) különféle logikai áramköri egységeket tartalmaznak, melyek egymással még nincsenek összekapcsolva, illetve a kapcsolataik megszüntethetők. A legegyszerűbb PLD változatok a PLA (Programmable Logic Array) nevet kapták, és a logikai függvény minterm alakjának közvetlen megvalósítására alkalmas - azaz bemeneti invertereket, ÉS kapukat, valamint az ÉS kapuk kimeneteit összefogó VAGY kapukat tartalmaznak (9.1 ábra) vagy I0 I1 . In-1 P L A st r u k t ú r a x p r ogr a m ozh a t ó É S m ező Bemeneti puffer . . . & 1 . . . p r ogr a m ozh a t ó VAG Y m ező & vagy x F0 Kimeneti puffer 1 Fm-1 EN 9.1 ábra A legegyszerűbb PLD, a PLA belső felépítése - 97 A bemenő jeleket a bemeneti puffer fogadja, amelyik előállítja a ponált és a negált értékeket is (pl. 16 bemenet esetén a bemeneti puffernek ezért 32 kimenete van). A

bemenő jeleket és negált értékeiket fogadják az ÉS kapuk (ÉS mező). Annak érdekében, hogy bármely bemeneti kombinációt ki lehessen alakítani, az ÉS kapuknak annyi bemenetük van, ahány kimenetet a bemeneti puffer előállít (példánkban tehát az ÉS kapuk 32 bemenetűek). A beprogramozott PLA-ban egy-egy ÉS kapura csak azok a bemeneti értékek illetve negált jelek lesznek rávezetve, melyeket a feladat megkíván. Az előgyártmányon azonban a bemeneti puffer és az ÉS kapuk kapcsolata egységes, pl. minden kapcsolat létezik A feladatra történő beprogramozás ekkor abból áll, hogy a felesleges összekötéseket meg kell szüntetni. A bipoláris PLA áramkörökben a kapcsolatokat vékony, viszonylag nagy ellenállású fém hidak valósítják meg (az ún. "biztosítékok"), s a programozó készülékkel ezek közül a feleslegeseket ki kell égetni. A PLA áramkörben a lehetséges számúnál sokkal kevesebb ÉS kaput alakítanak ki.

Példánkban 16 bemenetet tételeztünk fel, ennyi bemenettel 65536 különféle minterm képezhető - a 16 bemenetű PLA áramkörökben viszont általában csak 48 ÉS kapu található. Az ÉS kapuk kimenete egy második programozható összekötési hálózaton keresztül kapcsolódik a VAGY kapukra (pl. 8 da rab VAGY kapu lehet egy 16 bemenetű áramkörben). Egy-egy VAGY kapu egy-egy logikai függvényt valósít meg, azoknak az ÉS kapuknak a csatlakozását, melyek a függvényben nem játszanak szerepet, a programozáskor szintén ki kell égetni. A bipoláris, biztosítékokkal programozható PLA természetesen csak egyszer programozható, nem törölhető a tartalma, az eredeti programozatlan állapot nem állítható vissza. Kidolgoztak azonban a törölhető memória áramköröknél alkalmazott megoldásokat használó PLD változatokat is, ahol az ÉS illetve VAGY mező kapcsolódási pontjait EPROM jelleggel ille tve EEPROM jelleggel oldották meg. Az EPROM jellegű PLD

tartalmát ultraibolya fénnyel lehet törölni, azaz a chip feletti kvarcablakon át megvilágítva az áramkört (ugyanúgy, mint az EPROM memóriát) az eredeti, programozatlan állapothoz jutunk vissza. Még egyszerűbb az EEPROM jellegű PLD törlése, mert ezt villamos jellel lehet alapállapotba vinni. A PLD áramkörök nemcsak a programozási, törlési megoldásokban különböznek egymástól, hanem a logikai felépítésben is. A PLA-hoz hasonló kialakítású a PAL (Programmable Array Logic), melyben a VAGY mező nem programozható, az ÉS kapuk bizonyos csoportosítással gyárilag - 98 csatlakoznak a kimeneti VAGY kapukra. A GAL (Generic Array Logic) áramkörök is r okonai a PLA-nak, ezek is rögzített VAGY mezőt tartalmaznak, de van egy többfunkciós, szintén programozható működésű kimeneti logikai cellájuk. Olyan PLD áramkört is készítenek, melynél a sokfunkciós logikai cella alkotja az áramkör belső részét, azaz egy programozható

cellákból kiképzett mátrix a PLD belső része (FPGA, Field Programmable Logic Array). A PLD áramköröknél a programozatlan áramkör felépítését, a programozási és törlési eljárásokat, a beépített elemek számát tekintve ma már igen nagy a választék, ezért célszerű a megoldandó feladat ismeretében először alaposan áttanulmányozni a beszerezhető áramköri csoportokat s ezután kiválasztani a legalkalmasabb áramköri változatot. - 99 - IRODALOMJEGYZÉK [1] Csáki Frigyes Bevezetés a digitális technikába Akadémiai kiadó, Budapest, 1977 [2] Noel M. Morris Digitális áramkörök és jegyzetek Műszaki könyvkiadó, Budapest, 1977 [3] Gerd Thiele Félvezetős tárak Műszaki könyvkiadó, Budapest, 1990 [4] Dr. Bencsik Attila Automatizálás alapjai II., 3 kiadás BDGMF 1004, Budapest, 1990 [5] Ajtonyi István Vezérléstachnika I. Tankönyvkiadó, Budapest, 1978 [6] Texas Instruments TTL Receptek 2., átdolgozott kiadás Műszaki

Könyvkiadó, Budapest, 1978. [7] P. F Lister szerk Egytokos mikroszámítógépek Műszaki Könyvkiadó, Budapest, 1988. [8] Dr. Madarász László Az Intel 8 bites mikrovezérlői I. - II GAMF jegyzet. Kecskemét, 1991 [9] Dr. Madarász László A Microchip cég 16C5x mikrovezérlő családja GAMF oktatási segédlet. Kecskemét, 1992 [10] Dr. Madarász László A BASIC STAMP és fejlesztőrendszere GAMF oktatási segédlet. Kecskemét, 1993